期刊文献+

改进的门单元多输入跳变电流源模型

An Advanced Multiple-Input-Switching Current Source Model for Gate Cells
下载PDF
导出
摘要 电压信号的非线性问题和多输入跳变现象给时序分析带来了严重挑战.为更好地解决此问题,文中设计电路对门单元输入端和内部节点间的密勒电容进行了验证,从器件结构上分析了其来源,并通过引入该电容改进了已有多输入跳变电流源模型,以获得更高的模型精度;同时将二输入门单元模型扩展到多输入门单元,并详述了建模方法.多种门单元上的数值实验结果表明,与已有模型相比,在可接受的时间开销内,文中模型能够显著地提高门单元时延和输出波形的仿真精度. The non-linearity of I/0 signals and multiple-input-switching effects bring serious challenges to timing analysis. To alleviate these problems, the Miller capacitance between input and internal nodes of gate cells are verified by designed circuit and device structure is analyzed to clarify its source. An Advanced Multiple-Input-Switching Current Source Model with higher accuracy is developed by introducing such capacitance and the proposed modeling method is extended to handle multiple-input gates. Numerical experimental results for various gate cells demonstrated that the proposed model significantly improves the simulation accuracy for delays and waveforms compared with the existing ones.
出处 《计算机辅助设计与图形学学报》 EI CSCD 北大核心 2012年第11期1513-1520,共8页 Journal of Computer-Aided Design & Computer Graphics
基金 国家自然科学基金(61006030 60976034 61076033 60806013) 国家"九七三"重点基础研究发展计划项目(2011CB309701) 国家"十一五"重大科技专项项目(2009ZX02023-4-3) 国家"十二五"重大科技专项项目(2011ZX01034-005-001-03)
关键词 电流源模型 密勒电容 多输入跳变 串扰噪声 波形仿真 current source model Miller capacitance multiple-input-switching crosstalk noise waveform simulation
  • 相关文献

参考文献13

  • 1喻文健,王泽毅.三维VLSI互连寄生电容提取的研究进展[J].计算机辅助设计与图形学学报,2003,15(1):21-28. 被引量:12
  • 2Keller I, Tarn K H, Kariat V. Challenges in gate level modeling for delay and SI at 65nm and below [C] // Proceedings of IEEE/ACM Design Automation Conference. New York.. ACM Press, 2008:468-473.
  • 3Croix J F, Wong D F. Blade and razor: cell and interconnect delay analysis using current-based models [C]//Proceedings of IEEE/ACM Design Automation Conference. New York: ACM Press, 2003:386-389.
  • 4Keller 1, Ken T, Verghese N. A robust cell-level crosstalk delay change analysis [C] //Proceedings of IEEE/ACM International Conference on Computer Aided Design. Washington D C: IEEE Computer Society, 2004.. 147-154.
  • 5Fatemi H, Nazarian S, Pedram M. Statistical logic cell delay analysis using a current-based model [C] //Proceedings of IEEE/ACM Design Automation Conference. New York: ACM Press, 2006:253-256.
  • 6Gupta S, Sapatnekar S S. Current Source Modeling in the Presence of Body Bias [C] //Proceedings of IEEE/ACM Asia and South Pacific Design Automation Conference. New Jersey: IEEE Press, 2010:199-204.
  • 7Nazarian S, Fatemi H, Pedram M. Accurate timing and noise analysis of combinational and sequential logic cells using current source modeling[J]. IEEE Transactions on Very Large Scale Integration Systems, 2011, 19(1):92-103.
  • 8王毅,曾璇,陶俊,朱恒亮,罗旭,严昌浩,蔡伟.基于随机配置法和输入端缩减技术的统计静态时序分析[J].计算机辅助设计与图形学学报,2008,20(12):1527-1534. 被引量:3
  • 9Amelifard B, Hatami S, Fatemi H, et al. A current source model for CMOS logic cells considering multiple input switching and stack effect [C]//Proceedings of the Conference on Design, Automation and Test in Europe. New York: ACM Press, 2008:569-573.
  • 10Amin C, Kashyap C, Menezes N, et al. A multi-port current source model for multiple-input switching effects in CMOS library cells [C] //Proceedings of IEEE/ACM Design Automation Conference. New York: ACM Press, 2006; 247- 252.

二级参考文献63

  • 1张富彬,HO Ching-yen,彭思龙.静态时序分析及其在IC设计中的应用[J].电子器件,2006,29(4):1329-1333. 被引量:5
  • 2Visweswariah C, Ravindran K, Kalafala K, et al. First order incremental block based statistical timing analysis [C]// Proceedings of IEEE/ACM International Conference on Design Automation Conference, San Diego, 2004:331-336.
  • 3Chang H L, Zolotov V, Narayan S, et al. Parameterized block-based statistical timing analysis with non Gaussian parameters, nonlinear delay functions [C]//Proceedings of IEEE/ACM International Conference on Design Automation Conference, Anaheim, 2005: 71-76.
  • 4Zhan Y P, Strojwas A J, Li X, et al. Correlation-aware statistical timing analysis with non-Gaussian delay distributions [C] //Proceedings of IEEE/ACM International Conference on Design Automation Conference, Anaheim, 2005:77-82.
  • 5Zhang L Z, Chen W J, Hu Y H, et al. Correlation- preserved non-Gaussian statistical timing analysis with quadratie timing model [C] //Proceedings of IEEE/ACM International Conference on Design Automation Conference, Anaheim, 2005:83-88.
  • 6Khandelwal V, Srivastava A. A general framework for accurate statistical timing analysis considering correlations [C] //Proceedings of IEEE/ACM International Conference on Design Automation Conference, Anaheim, 2005:89-94.
  • 7Singh J, Sapatnekar S. Statistical timing analysis with correlated non Gaussian parameters using independent component analysis [C] //Proceedings of IEEE/ACM International Conference on Design Automation Conference, San Francisco, 2006:155-160.
  • 8Fang J, Luk W S, Zhao W Q. True worst-case clock skew estimation under process variations using affine arithmetic[J]. Chinese Journal of Electronics, 2007, 16(4) : 631-636.
  • 9Bhardwaj S, Ghanta P, Vrudhula S. A framework for statistical timing analysis using non-linear delay and slew models [C]//Proceedings of the IEEE/ACM International Conference on Computer Aided Design, San Jose, 2006:225-230.
  • 10Satish K Y, Li J, Talarico C, et al. A probabilistic collocation method based statistical gate delay model considering process variations and multiple input switching [C]//Proceedings of the Design, Automation and Test in Europe Conference and Exhibition, Messe Munich, 2005:770-775.

共引文献16

相关作者

内容加载中请稍等...

相关机构

内容加载中请稍等...

相关主题

内容加载中请稍等...

浏览历史

内容加载中请稍等...
;
使用帮助 返回顶部