期刊文献+
共找到298篇文章
< 1 2 15 >
每页显示 20 50 100
新型镍基GH4151高温合金ESR数值模拟及工艺优化
1
作者 贾雷 崔衡 +4 位作者 杨树峰 吕少敏 谢兴飞 曲敬龙 苗庆东 《中国有色金属学报》 EI CAS CSCD 北大核心 2023年第9期2873-2889,共17页
GH4151合金是高合金化难变形高温合金,在冶炼过程中易产生元素偏析,使铸锭具有较大的开裂倾向性。本文借助MeltFlow-ESR商业数值仿真软件,结合现场实际电渣冶炼工艺,对新型镍基高温合金GH4151电渣锭铸态组织的一次、二次枝晶间距进行模... GH4151合金是高合金化难变形高温合金,在冶炼过程中易产生元素偏析,使铸锭具有较大的开裂倾向性。本文借助MeltFlow-ESR商业数值仿真软件,结合现场实际电渣冶炼工艺,对新型镍基高温合金GH4151电渣锭铸态组织的一次、二次枝晶间距进行模拟计算,对不同电渣重熔工艺条件下的渣池温度和金属熔池形貌进行分析,寻求合适的冶炼工艺,降低试错成本。结果表明:随着熔化速率增加(130~210 kg/h),渣池温度先升高后降低,枝晶间距呈增大趋势;金属熔池形貌整体逐渐由“U”型向“V”型转变,熔池深度与熔化速率满足一定的线性关系。渣量对熔池体积的影响较为复杂,当熔化速率为140 kg/h,渣量由50 kg增加到75 kg时,熔池深度由199 mm增加到205 mm;而当熔化速率为180 kg/h时,渣量从67 kg增加到120 kg时,熔池深度变浅。通过工业试验得到无缩孔和无裂纹缺陷的大锭型d 450 mm电渣铸锭,渣皮厚度为1.78 mm,与模拟结果接近。另外,实验测量的枝晶间距结果与模拟计算结果基本一致,可以利用该模拟软件对电渣锭的枝晶间距进行预测。 展开更多
关键词 镍基高温合金 高合金化 电渣重熔 熔化速率 渣高 枝晶间距
下载PDF
中子衍射在航空发动机材料残余应力分析中的应用
2
作者 罗军 李楠 +1 位作者 王曦 刘昌奎 《材料导报》 CSCD 北大核心 2023年第S02期497-508,共12页
航空领域关键材料及部件在生产、制造、加工、服役、维修及失效的全寿命周期中残余应力检测与评价的需求十分迫切,尤其是叶片、机匣、涡轮盘、轴承及大型结构件等内部三维残余应力的分布。中子衍射技术具有高穿透性、高分辨率和高精度... 航空领域关键材料及部件在生产、制造、加工、服役、维修及失效的全寿命周期中残余应力检测与评价的需求十分迫切,尤其是叶片、机匣、涡轮盘、轴承及大型结构件等内部三维残余应力的分布。中子衍射技术具有高穿透性、高分辨率和高精度等优点,是准确、无损检测和分析工程材料及部件内部三维应力最有效的方法。本文主要介绍了中子衍射技术测量残余应力的原理及优势,详细阐述了中子衍射技术在加工制造过程(如焊接、增材制造等)、热处理过程(如不同热处理温度和冷却方式)、涂层材料和工程部件(如叶片、涡轮盘等)制备及服役过程残余应力的研究进展和成果,展望了中子衍射技术测量残余应力的发展方向。 展开更多
关键词 中子衍射 无损检测 航空发动机 部件 残余应力
下载PDF
纳米ZnO光催化降解甲基橙研究 被引量:26
3
作者 李秀艳 刘平安 +3 位作者 曾令可 王慧 税安泽 刘艳春 《分析测试学报》 CAS CSCD 北大核心 2007年第1期38-41,共4页
以ZnSO4.7H2O和Na2CO3为原料,采用沉淀法制备纳米ZnO粉体。以甲基橙为研究对象,高压汞灯(主波长365nm)为光源,研究了催化剂用量、甲基橙初始浓度、光强度、电解质(Cl-、SO42-、NO3-)及pH值等对甲基橙降解率的影响。
关键词 光催化 降解 纳米ZNO 甲基橙
下载PDF
二元Ti-Al合金高温氧化机理 被引量:9
4
作者 李向阳 张永刚 +3 位作者 陈昌麒 张孝吉 张通和 张荟星 《金属学报》 SCIE EI CAS CSCD 北大核心 1997年第9期964-970,共7页
研究了Ti-33.3%Al,Ti-48%Al和Ti-52%Al(原子分数)合金在1073和1173K空气中的恒温氢化行为,用X射线衍射仪(XRD)和配有能谱仪(EDS)的扫描电子显微镜(SEM)对氧化层表面的相组成、形貌以及氧化层剖面的显微结构和氧化机理... 研究了Ti-33.3%Al,Ti-48%Al和Ti-52%Al(原子分数)合金在1073和1173K空气中的恒温氢化行为,用X射线衍射仪(XRD)和配有能谱仪(EDS)的扫描电子显微镜(SEM)对氧化层表面的相组成、形貌以及氧化层剖面的显微结构和氧化机理进行了分析结果表明:二元Ti-Al合金的氧化层由一系列薄层组成,其氢化过程可以分为三个阶段,不同阶段氧化层的生长方向及氧化反应的控制步骤略有差别。 展开更多
关键词 高温 氧化 氧化层 氧化动力学 钛铝合金
下载PDF
氢化锆高温抗氢渗透涂层研究 被引量:26
5
作者 张华锋 杨启法 +1 位作者 王振东 刘小舟 《原子能科学技术》 EI CAS CSCD 北大核心 2005年第B07期83-87,共5页
利用气固反应方法在锆、氢化锆表面制备厚为5~20μm的抗氢渗透涂层。借助光学显微镜、扫描电子显微镜(SEM)对涂层进行形貌观察;由电子衍射能谱(EDS)进行成分分析;用X射线衍射仪对涂层进行物相分析。分析结果表明:涂层表面均匀、致密,... 利用气固反应方法在锆、氢化锆表面制备厚为5~20μm的抗氢渗透涂层。借助光学显微镜、扫描电子显微镜(SEM)对涂层进行形貌观察;由电子衍射能谱(EDS)进行成分分析;用X射线衍射仪对涂层进行物相分析。分析结果表明:涂层表面均匀、致密,厚度为20μm左右;涂层中含有Zr、C、O、P等元素,且O有明显的扩散;涂层中存在具有致密结构的氧化锆、磷化锆等物相,可能还存在锆的碳化物相。 展开更多
关键词 氢化锆 抗氢渗透涂层 气-固反应
下载PDF
贝氏体球铁中位错密度的测定及其影响因素 被引量:8
6
作者 魏秉庆 梁吉 +1 位作者 高志栋 吴德海 《金属学报》 SCIE EI CAS CSCD 北大核心 1996年第6期573-577,共5页
采用X射线衍射法及新的线形分析理论,准确地测定了不同工艺条件下贝氏体球铁的位错密度.分析了化学成分、等温温度、回火处理及形变处理等与位错密度的关系.实验结果证明,位错密度的增加与贝氏体的晶粒细化伴生.
关键词 贝氏体 位错 密度 X射线衍射 球墨铸铁
下载PDF
TiAlN-MoS_2/TiAlN硬质润滑膜研究 被引量:11
7
作者 荆阳 庞思勤 +1 位作者 张学恒 徐承俊 《北京理工大学学报》 EI CAS CSCD 北大核心 2002年第4期457-459,468,共4页
在 NCU PP技术沉积的 Ti N- Mo S2 / Ti N复合膜中添加 A1元素并改变部分沉积工艺 ,可以在 1Cr18Ni9Ti基体上沉积出 Ti Al N - Mo S2 / Ti Al N硬质润滑膜 .利用 SEM,XPS等手段研究涂层的成分、微观结构等 .通过摩擦学试验测定其结合强... 在 NCU PP技术沉积的 Ti N- Mo S2 / Ti N复合膜中添加 A1元素并改变部分沉积工艺 ,可以在 1Cr18Ni9Ti基体上沉积出 Ti Al N - Mo S2 / Ti Al N硬质润滑膜 .利用 SEM,XPS等手段研究涂层的成分、微观结构等 .通过摩擦学试验测定其结合强度等性能 .结果表明 ,在钻头上沉积 Ti Al N- Mo S2 / Ti Al N硬质膜 ,可使其在钻削过程中具有优异的耐磨、减摩和耐高温等性能 。 展开更多
关键词 TiAlN-MoS2/TiAl硬质润滑膜 X射线光电子能谱 非平衡纳米复合等离子体镀膜技术
下载PDF
Fe-Mn-Si-Cr-N形状记忆合金中应力诱发马氏体量的测定 被引量:4
8
作者 孟庆平 戎咏华 +1 位作者 陈世朴 徐祖耀 《上海交通大学学报》 EI CAS CSCD 北大核心 2001年第3期389-393,共5页
通过 X射线衍射峰位置和强度的计算 ,可以测定 Fe- Mn- Si- Cr- N形状记忆合金中应力诱发马氏体 (ε相 )体积分数 .选择既不重叠又有足够强度的奥氏体的 {1 1 1 }γ、{2 0 0 }γ 和马氏体的{1 0 1 }ε衍射峰用于定量测定 γ相和 ε相的... 通过 X射线衍射峰位置和强度的计算 ,可以测定 Fe- Mn- Si- Cr- N形状记忆合金中应力诱发马氏体 (ε相 )体积分数 .选择既不重叠又有足够强度的奥氏体的 {1 1 1 }γ、{2 0 0 }γ 和马氏体的{1 0 1 }ε衍射峰用于定量测定 γ相和 ε相的含量 ,并以定量金相结果进行了验证 .结果表明 ,该合金的应力诱发马氏体的体积分数 fhcp和应变量之间符合 Olsen和 Cohen用于 Fe- Cr- Ni合金的指数关系 :fhcp=1 - exp{- β[1 - exp(- αη) ]n},拟合的参数 α、β和 n分别为 1 2 .5、2 .2和 0 .5,其中 n值与Fe- Cr- Ni合金的 n值 (4.5)不同 ,表明 Fe- Mn- Si基合金在较小的应变量下就可获得比 Fe- Cr- Ni合金大得多的应力诱发马氏体量 ,原因可归结于两种合金的相变机制不同 .实验和拟合结果也证实了 Olsen和 Cohen认为的在 Ms点以上不能通过应力诱发得到 1 0 0 %的马氏体 . 展开更多
关键词 形状记忆合金 应力诱发马氏体 应变量 体积分数 测定 X射线衍射
下载PDF
填样深度对多晶粉末X射线衍射仪测试结果的影响研究 被引量:6
9
作者 程国峰 杨传铮 张健 《分析测试学报》 CAS CSCD 北大核心 2009年第3期342-344,348,共4页
从理论和实验两个角度研究了填样深度对多晶粉末X射线衍射仪中实验结果——峰位、半高宽(FWHM)、衍射强度的影响。结果表明,在对称反射几何情况下,金属及其合金样品填样深度效应可以忽略,填样深度在0.2~0.5mm范围内能满足无穷厚度要求... 从理论和实验两个角度研究了填样深度对多晶粉末X射线衍射仪中实验结果——峰位、半高宽(FWHM)、衍射强度的影响。结果表明,在对称反射几何情况下,金属及其合金样品填样深度效应可以忽略,填样深度在0.2~0.5mm范围内能满足无穷厚度要求;对于绝大多数有机样品填样深度应不小于1.5mm。 展开更多
关键词 填样深度 多晶粉末 X射线衍射仪 峰位 半高宽 衍射强度
下载PDF
面心立方合金调幅分解与有序化的X射线和透射电镜衍射研究 被引量:5
10
作者 王六定 陈长乐 +1 位作者 卫英慧 康沫狂 《航空材料学报》 EI CAS CSCD 1999年第3期13-18,共6页
在点阵波理论基础上,通过假定合金中溶质原子浓度的适当分布并建立与之相应的衍射波波幅表达式,利用计算机模拟得面心立方(Cu-4w t% Ti)合金调幅(Spinodal)分解与有序化共存的X射线衍射边带形貌,其波形与实验... 在点阵波理论基础上,通过假定合金中溶质原子浓度的适当分布并建立与之相应的衍射波波幅表达式,利用计算机模拟得面心立方(Cu-4w t% Ti)合金调幅(Spinodal)分解与有序化共存的X射线衍射边带形貌,其波形与实验结果颇为相近。进一步根据迭加原理在两相互垂直方向上对上述衍射边带合成。 展开更多
关键词 X射线 衍射 电镜 面心立主主 合金 有序化
下载PDF
RF磁控溅射法制备ZnO薄膜的XRD分析 被引量:11
11
作者 汪冬梅 吕珺 +2 位作者 陈长奇 吴玉程 郑治祥 《理化检验(物理分册)》 CAS 2006年第1期19-22,39,共5页
采用RF磁控溅射法,在玻璃村底上制备多晶ZnO薄膜,并对所制备的ZnO薄膜在空气气氛中进行了不同温度(350~600℃)的退火处理和600℃时N2气氛中的退火处理。利用X射线衍射分析了溅射参数如溅射功率、溅射氧分压、衬底温度以及退火处理... 采用RF磁控溅射法,在玻璃村底上制备多晶ZnO薄膜,并对所制备的ZnO薄膜在空气气氛中进行了不同温度(350~600℃)的退火处理和600℃时N2气氛中的退火处理。利用X射线衍射分析了溅射参数如溅射功率、溅射氧分压、衬底温度以及退火处理对ZnO薄膜结晶性能的影响。结果表明,合适的衬底温度和退火处理能够提高ZnO薄膜的结晶质量。 展开更多
关键词 ZNO薄膜 RF磁控溅射 XRD 退火处理 结晶性能
下载PDF
Cu-Fe复合粉体高能球磨过程中储能行为对金刚石烧结体烧结温度及性能的影响 被引量:4
12
作者 孙金峰 李晓普 +2 位作者 贺战文 赵玉成 王明智 《金刚石与磨料磨具工程》 CAS 北大核心 2006年第6期28-31,48,共5页
本文主要讨论了Cu-Fe复合粉体在高能球磨过程中能量的储存以及变化情况,通过对球磨后Cu-Fe复合粉体进行XRD、DSC分析,来了解在球磨过程中的能量储存方式和变化情况,从而证明了这种储能对Cu-Fe烧结体烧结温度和制品性能的影响。X射线结... 本文主要讨论了Cu-Fe复合粉体在高能球磨过程中能量的储存以及变化情况,通过对球磨后Cu-Fe复合粉体进行XRD、DSC分析,来了解在球磨过程中的能量储存方式和变化情况,从而证明了这种储能对Cu-Fe烧结体烧结温度和制品性能的影响。X射线结果表明:随着球磨加工的进行,粉体的晶粒尺寸减小,复合粉体中Cu FCC(111)面的晶格常数变大。DSC分析的结果表明在粉体中储存大量的能量。晶粒尺寸的减小,晶格常数的变大以及颗粒的细化导致界面能、表面能及非平衡状态(晶格常数增大及各种缺陷增加)形成的能量是其储存能量的主要来源,大量储存的能量降低了复合粉体的烧结温度,改善了烧结体的强度和硬度。 展开更多
关键词 结合剂 储能 高能球磨 烧结体
下载PDF
低磷化学镀镍层的组成和结构 被引量:7
13
作者 方景礼 叶向荣 方晶 《应用化学》 CAS CSCD 北大核心 1992年第5期34-38,共5页
用SEM、XPS、AES和X-射线衍射法研究了低磷化学镀镍层的形貌、结晶状态、组成元素及其价态和深度分布。结果表明,低磷化学镀镍磷合金层为层状结构,镀态的镀层由低晶态的Ni和Ni_2P组成,350℃热处理1小时后转化为完全晶态的Ni和Ni_3P。镍... 用SEM、XPS、AES和X-射线衍射法研究了低磷化学镀镍层的形貌、结晶状态、组成元素及其价态和深度分布。结果表明,低磷化学镀镍磷合金层为层状结构,镀态的镀层由低晶态的Ni和Ni_2P组成,350℃热处理1小时后转化为完全晶态的Ni和Ni_3P。镍和磷均为零价态,它们的结合能分别为129.1ev和852.3eV。镀层的真实组成(相对原子百分浓度)为:Ni 85.4%,P 10.1%,O 4.5%。 展开更多
关键词 镍磷合金 镀层 化学镀镍
下载PDF
轧制铝合金的X-射线法残余应力测试 被引量:4
14
作者 孙建通 李晓延 +1 位作者 张亮 兖文涛 《焊接学报》 EI CAS CSCD 北大核心 2017年第1期61-64,共4页
在轧制铝合金的X射线残余应力测试中,由于存在择优取向,在不同Ψ角位置参与衍射的晶面数目不同,造成不同Ψ角位置的衍射强度出现差异,影响衍射峰的θ-2θ位置的判断,进而2θ-sin2Ψ和Ip-sin2Ψ出现不同程度的震荡,造成测试结果准确度下... 在轧制铝合金的X射线残余应力测试中,由于存在择优取向,在不同Ψ角位置参与衍射的晶面数目不同,造成不同Ψ角位置的衍射强度出现差异,影响衍射峰的θ-2θ位置的判断,进而2θ-sin2Ψ和Ip-sin2Ψ出现不同程度的震荡,造成测试结果准确度下降.文中采用"多晶面衍射法"从衍射峰形和强度分布出发,分析了轧制铝合金测试过程中不同衍射晶面随Ψ角位置衍射强度的变化,然后选择合适的峰形进行拟合.结果表明,采用"多晶面法衍射"可以保证衍射峰型和强度比,进而得到的测试结果和理论值吻合度较好,满足对轧制铝合金测试结果的要求. 展开更多
关键词 X-射线 Al-Zn-Mg-Cu-Mn-Zr-Er合金 织构材料 残余应力
下载PDF
LaNi_5D_x(x=0,0·3)化合物的中子粉末衍射研究 被引量:3
15
作者 樊志剑 陈波 +3 位作者 孙光爱 薛艳杰 陈东风 张晓安 《原子能科学技术》 EI CAS CSCD 北大核心 2006年第1期111-115,共5页
利用中子粉末衍射实验研究了LaNi5、LaNi5D0.3的晶体结构。在对LaNi5D0.3的中子粉末衍射数据进行分析时,考虑了吸、放气过程中引入的微应变会使得衍射轮廓产生各向异性展宽的因素,从而使拟合效果取得较明显的改善。与LaNi5一样,LaNi5D0.... 利用中子粉末衍射实验研究了LaNi5、LaNi5D0.3的晶体结构。在对LaNi5D0.3的中子粉末衍射数据进行分析时,考虑了吸、放气过程中引入的微应变会使得衍射轮廓产生各向异性展宽的因素,从而使拟合效果取得较明显的改善。与LaNi5一样,LaNi5D0.3的空间群仍为P6/mmm。LaNi5D0.3中氘原子占据12n位。 展开更多
关键词 LANI5 晶体结构 中子粉末衍射
下载PDF
织构分析在材料检测中的应用 被引量:9
16
作者 王书明 王超群 +2 位作者 樊志罡 刘淑凤 张东辉 《理化检验(物理分册)》 CAS 2009年第5期277-281,共5页
控制织构的类型和含量可以发掘材料最大潜能,因此织构材料广泛应用于各种功能器件和工程构件中。阐述了织构存在的多样性,织构的测量和表征以及织构的应用。重点讨论了如何利用织构分析对材料物相定性和修正物相含量的计算,以及以弹性... 控制织构的类型和含量可以发掘材料最大潜能,因此织构材料广泛应用于各种功能器件和工程构件中。阐述了织构存在的多样性,织构的测量和表征以及织构的应用。重点讨论了如何利用织构分析对材料物相定性和修正物相含量的计算,以及以弹性模量为例介绍如何利用织构分析对材料的宏观性能进行计算和预测。 展开更多
关键词 织构 检测 应用
下载PDF
求解X射线定量相分析最小二乘方程组的抛弃平均法 被引量:6
17
作者 郭常霖 黄月鸿 《金属学报》 SCIE EI CAS CSCD 北大核心 1991年第3期B202-B206,共5页
X射线定量相分析的无标样法等一系列新方法都要求解联立方程组。经常出现的病态方程组在求解过程中误差的传递和叠加会使解得的结果误差很大,甚至得到不合理的负解。本文提出一种利用各种可能组合的最小二乘法方程组求得的解,采用抛弃... X射线定量相分析的无标样法等一系列新方法都要求解联立方程组。经常出现的病态方程组在求解过程中误差的传递和叠加会使解得的结果误差很大,甚至得到不合理的负解。本文提出一种利用各种可能组合的最小二乘法方程组求得的解,采用抛弃平均法处理求得较精确可靠的物相定量结果的新方法。实际分析表明,该新方法简便实用,可得到理想的结果。 展开更多
关键词 X射线 衍射 定量相分析 数据处理
下载PDF
扫描电镜及X射线能谱仪在首饰镀层检测中的应用 被引量:6
18
作者 谭莹 张震坤 +2 位作者 陈明 曹标 刘健斌 《理化检验(物理分册)》 CAS 2007年第4期185-187,共3页
采用扫描电镜及X射线能谱仪对首饰镀层进行了检测,并对两种方法进行了比较。结果表明,镀铑首饰采用无损检测法较合适,而镀金首饰采用破坏性检测法较合适。
关键词 首饰镀层 扫描电镜 X射线能谱仪 检测
下载PDF
立方晶系织构材料残余应力测定的H晶面衍射法 被引量:6
19
作者 徐可为 何家文 《稀有金属材料与工程》 SCIE EI CAS CSCD 1989年第5期7-13,共7页
本文在Dolle理论基础上,对应力测定中织构材料的2θOscillation现象作了进一步分析,并设计实验予以考察和检验。理论与实验均表明,影响织构材料2θ与sin^2Ψ关系的本质原因是材料的弹性各向异性,其影响程度在X射线衍射分析中更直接地表... 本文在Dolle理论基础上,对应力测定中织构材料的2θOscillation现象作了进一步分析,并设计实验予以考察和检验。理论与实验均表明,影响织构材料2θ与sin^2Ψ关系的本质原因是材料的弹性各向异性,其影响程度在X射线衍射分析中更直接地表现在晶体取向性的强弱上。一般讲,取向性愈明显,Oscillation就愈严重。对立方系材料,可用的方法是选取(hhh)或(hoo)晶面作衍射,此时仍可获得线性的2θ与sin^2Ψ关系,并由斜率求得应力,但应注意粗晶造成统计波动,原因在于H晶面具有最低的多重性因子,并且织构材料在某些Ψ角度下衍射强度本身就很低。 展开更多
关键词 织构材料 残余应力 H晶面衍射法
下载PDF
电子背散射衍射分析技术在钢铁及管线钢研究领域中的应用 被引量:5
20
作者 张小立 庄传晶 +3 位作者 吉玲康 冯耀荣 霍春勇 赵文轸 《理化检验(物理分册)》 CAS 2006年第9期460-463,共4页
介绍了电子背散射衍射(EBSD)分析技术在钢铁研究领域的应用,提出了利用其在高钢级管线钢领域进行研究的切入点和一些研究结论,并对原位EBSD新技术进行了介绍。
关键词 电子背散射衍射 取向 高钢级管线钢
下载PDF
上一页 1 2 15 下一页 到第
使用帮助 返回顶部