期刊文献+
共找到62篇文章
< 1 2 4 >
每页显示 20 50 100
通过分析代码覆盖提高功能覆盖率的验证输入自动生成方法 被引量:5
1
作者 傅亮 卢鼎 +1 位作者 张志敏 孙愿 《计算机辅助设计与图形学学报》 EI CSCD 北大核心 2009年第4期454-460,共7页
覆盖率驱动的验证是功能验证的重要方法,但功能覆盖定义的主观性和手工调整验证输入的冗长过程都极大地影响了覆盖率驱动验证的效率.文中分析了代码行覆盖次数与功能覆盖率的内在联系,建立了基于代码行覆盖次数的概率模型,提出一种新的... 覆盖率驱动的验证是功能验证的重要方法,但功能覆盖定义的主观性和手工调整验证输入的冗长过程都极大地影响了覆盖率驱动验证的效率.文中分析了代码行覆盖次数与功能覆盖率的内在联系,建立了基于代码行覆盖次数的概率模型,提出一种新的通过分析代码覆盖提高功能覆盖率的验证输入自动生成方法——FOCDGAG.通过代码行覆盖次数计算验证输入序列的适应度,选取对提高功能覆盖率贡献较高的输入序列,使用遗传算法自动生成新的输入序列组.实验结果表明,采用FOCDGAG时不需要功能覆盖信息,可以将全随机输入生成的功能覆盖率收敛速度提高10倍以上,将约束随机输入生成的功能覆盖率收敛速度提高20倍以上. 展开更多
关键词 功能覆盖率 代码覆盖率 遗传算法 自动生成 功能验证
下载PDF
一种基于功能覆盖率的验证环境的构建方法 被引量:3
2
作者 迟志刚 高德远 +1 位作者 樊晓桠 靳战鹏 《计算机工程与应用》 CSCD 北大核心 2006年第5期88-90,96,共4页
首先介绍了功能覆盖率和层次化Testbench,然后将两者结合起来介绍了一种基于功能覆盖率的验证环境的构建方法。论文结合作者设计的“龙腾R2”总线接口单元的验证平台,对这一方法作了详细的介绍。通过与“龙腾R1”总线接口单元的验证方... 首先介绍了功能覆盖率和层次化Testbench,然后将两者结合起来介绍了一种基于功能覆盖率的验证环境的构建方法。论文结合作者设计的“龙腾R2”总线接口单元的验证平台,对这一方法作了详细的介绍。通过与“龙腾R1”总线接口单元的验证方法比较显示,笔者搭建的验证平台的验证时间缩短25%。 展开更多
关键词 功能 功能覆盖率 验证环境 Testbench 总线接口
下载PDF
基于遗传算法的功能覆盖率收敛技术 被引量:2
3
作者 高史义 罗小华 +2 位作者 卢宇峰 刘富春 张晨秋 《浙江大学学报(工学版)》 EI CAS CSCD 北大核心 2015年第8期1509-1515,共7页
针对集成电路验证向量生成与功能覆盖率收敛的问题,提出一种基于遗传算法的功能覆盖率收敛技术.通过计算分析遗传算法中遗传算子的概率分布函数,获得由比例选择算子、均匀交叉算子以及二元变异算子组成的遗传算法,得到覆盖率广、重复性... 针对集成电路验证向量生成与功能覆盖率收敛的问题,提出一种基于遗传算法的功能覆盖率收敛技术.通过计算分析遗传算法中遗传算子的概率分布函数,获得由比例选择算子、均匀交叉算子以及二元变异算子组成的遗传算法,得到覆盖率广、重复性低的验证向量,在最短仿真时间内达到预先设定的功能覆盖率.实验采用基于Turbo芯片的图像处理硬件加速器作为验证模型,将遗传算法嵌入到以System Verilog语言为基础的层次化验证平台中.结果表明,与全随机向量验证相比,该算法有效增加了功能覆盖率并使仿真时间缩短了25%左右,实现功能覆盖率的快速收敛,提高了验证效率. 展开更多
关键词 遗传算法 功能覆盖率 快速收敛 比例选择算子 均匀交叉算子 二元变异算子
下载PDF
VMM中功能覆盖率收敛技术 被引量:5
4
作者 黄思远 邵智勇 +2 位作者 于承兴 常华 张波 《现代电子技术》 2010年第4期16-18,31,共4页
介绍SystemVerilog VMM验证方法学在LCD Controller验证中的应用,指出它相对于传统Verilog验证方法的优点,重点研究功能覆盖率的收敛技术,实验比较了多种具体的实现方法。实验结果表明,由于CCT能够收集覆盖信息,形成闭环负反馈,以控制... 介绍SystemVerilog VMM验证方法学在LCD Controller验证中的应用,指出它相对于传统Verilog验证方法的优点,重点研究功能覆盖率的收敛技术,实验比较了多种具体的实现方法。实验结果表明,由于CCT能够收集覆盖信息,形成闭环负反馈,以控制随机变量的生成,从而在实现快速收敛的目标方面取得了显著的效果。 展开更多
关键词 SYSTEMVERILOG VMM IC验证 功能覆盖率收敛技术 SOC
下载PDF
功能覆盖率驱动的TAU/MVBC模块验证
5
作者 姚爱红 吴剑 张智钧 《计算机应用研究》 CSCD 北大核心 2011年第4期1352-1355,共4页
基于验证方法学,使用硬件验证语言搭建分层可复用的TAU/MVBC验证环境,利用功能覆盖率结果对随机激励生成器进行导向,同时使用断言覆盖未达到的边角情况,在合理的时间内达到了预定的覆盖率要求。结果表明,覆盖率导向的带约束随机激励生... 基于验证方法学,使用硬件验证语言搭建分层可复用的TAU/MVBC验证环境,利用功能覆盖率结果对随机激励生成器进行导向,同时使用断言覆盖未达到的边角情况,在合理的时间内达到了预定的覆盖率要求。结果表明,覆盖率导向的带约束随机激励生成方法通过提高对覆盖率贡献较大的小概率事件出现的次数,有效地提高了验证效率。 展开更多
关键词 功能覆盖率 验证方法学 报文分析单元 SYSTEMVERILOG
下载PDF
功能覆盖率统计用于核级可编程逻辑仿真验证
6
作者 张运涛 何玮 +1 位作者 曹宗生 孟广国 《自动化博览》 2017年第6期78-83,共6页
可编程逻辑器件在核电数字化仪控设备中的应用越来越广泛。对可编程逻辑器件进行功能仿真是验证其正确性及安全性的重要手段。核电标准明确提出要对可编程逻辑进行充分的仿真验证。代码覆盖率是功能仿真验证的重要指标,但代码覆盖率有... 可编程逻辑器件在核电数字化仪控设备中的应用越来越广泛。对可编程逻辑器件进行功能仿真是验证其正确性及安全性的重要手段。核电标准明确提出要对可编程逻辑进行充分的仿真验证。代码覆盖率是功能仿真验证的重要指标,但代码覆盖率有局限性,较高的代码覆盖率下仿真测试不一定完备。本文首次将功能覆盖率统计技术用于核电DCS产品可编程逻辑验证。文中功能覆盖率统计技术结合了受约束的随机激励方法来减小定向测试用例的工作量,并且采用断言进行自动检查提高了对结果正确性检查的效率。在达到同等代码覆盖率的基础上,通过采用功能覆盖率统计技术达到了对被测对象的功能高覆盖,进而保障了仿真验证的充分性。目前功能覆盖率统计技术已应用于DCS系统设备接口模块的优选逻辑验证。 展开更多
关键词 核电DCS 可编程逻辑 功能覆盖率
下载PDF
针对功能覆盖率的验证过程 被引量:7
7
作者 徐伟俊 杨鑫 +1 位作者 陈先勇 夏宇闻 《中国集成电路》 2007年第7期58-62,共5页
本文在介绍传统验证过程及其局限性的基础上,阐述了针对功能覆盖率验证(coverage driven Verification)的概念和必要性,通过举例简要地说明了该验证过程的步骤,帮助读者理解为什么学习SystemVerilog语言,掌握一些基本的针对功能覆盖率... 本文在介绍传统验证过程及其局限性的基础上,阐述了针对功能覆盖率验证(coverage driven Verification)的概念和必要性,通过举例简要地说明了该验证过程的步骤,帮助读者理解为什么学习SystemVerilog语言,掌握一些基本的针对功能覆盖率验证库的使用方法,就可以显著提高验证的效率和可信度,并使得复杂验证过程的管理变得容易。 展开更多
关键词 功能覆盖率 SystemVerilog覆盖率 覆盖率属性
下载PDF
RFID数字控制器的UVM功能覆盖率验证
8
作者 何冬明 《中国集成电路》 2015年第3期74-78,共5页
介绍了RFID数字控制器的UVM(Universal Verification Methodolody)验证平台,以及功能覆盖率验证所涉及详尽的测试计划、覆盖率组和覆盖率属性、覆盖率分析和测试用例更新等。验证结果表明,此验证平台能够实现随机约束激励、监控和收敛... 介绍了RFID数字控制器的UVM(Universal Verification Methodolody)验证平台,以及功能覆盖率验证所涉及详尽的测试计划、覆盖率组和覆盖率属性、覆盖率分析和测试用例更新等。验证结果表明,此验证平台能够实现随机约束激励、监控和收敛覆盖率,提高了验证的效率和可重用性,较好地满足了芯片验证需要。 展开更多
关键词 功能覆盖率 RFID数字控制器 UVM验证方法学 SYSTEMVERILOG 随机约束测试
下载PDF
基于UVM的功能覆盖率驱动SDIO IP验证 被引量:5
9
作者 倪伟 王笑天 《微电子学》 CSCD 北大核心 2017年第3期392-395,411,共5页
在研究SDIO接口协议的基础上,采用以功能覆盖率驱动的验证方法和UVM验证方法学,构建了一个完整的SDIO IP验证平台。在自测试仿真实验中,通过各种测试用例,最终实现了功能覆盖率的收敛。仿真结果表明,该平台可以用于验证复杂的SDIO接口协... 在研究SDIO接口协议的基础上,采用以功能覆盖率驱动的验证方法和UVM验证方法学,构建了一个完整的SDIO IP验证平台。在自测试仿真实验中,通过各种测试用例,最终实现了功能覆盖率的收敛。仿真结果表明,该平台可以用于验证复杂的SDIO接口协议,且具有可复用性,可用于SoC系统的验证,缩短验证流程。 展开更多
关键词 SDIO UVM 功能覆盖率 验证
原文传递
功能覆盖率驱动的自适应遗传算法验证技术
10
作者 吴剑浪 石景恺 康怀祺 《微电子学》 CAS CSCD 北大核心 2015年第4期529-532,共4页
根据不同功能测试点在芯片代码中的逻辑深度与相应测试向量覆盖到的测试点多少的关系,对不同测试点设置了相应的权重,提出一种基于自适应遗传算法的激励向量生成方法。实验结果表明,该方法能减少编写约束文件时间,较快自动搜索有针对性... 根据不同功能测试点在芯片代码中的逻辑深度与相应测试向量覆盖到的测试点多少的关系,对不同测试点设置了相应的权重,提出一种基于自适应遗传算法的激励向量生成方法。实验结果表明,该方法能减少编写约束文件时间,较快自动搜索有针对性的测试激励,提高芯片功能验证的可靠性和仿真效率。 展开更多
关键词 代码逻辑深度 测试点权重 功能覆盖率 自动测试 遗传算法
原文传递
使用Xcelium Machine Learning技术加速验证覆盖率收敛
11
作者 植玉 马业欣 徐嵘 《电子技术应用》 2023年第8期19-23,共5页
随着设计越来越复杂,受约束的随机化验证方法已成为验证的主流方法。一般地,验证激励做到不违反spec描述条件下尽量随机,这样验证能跑到的空间才更充分。但是,这给功能覆盖率收敛带来极大挑战,为解决这一难题,Cadence率先推出了仿真器... 随着设计越来越复杂,受约束的随机化验证方法已成为验证的主流方法。一般地,验证激励做到不违反spec描述条件下尽量随机,这样验证能跑到的空间才更充分。但是,这给功能覆盖率收敛带来极大挑战,为解决这一难题,Cadence率先推出了仿真器的机器学习功能——Xcelium Machine Learning,采用机器学习技术让功能覆盖率快速收敛,大大提高验证仿真效率。介绍了Xcelium Machine Learning的使用流程,并给出在相同模拟(simulation)验证环境下应用Machine Learning前后情况对比。最后Machine Learning在模拟(simulation)验证中的应用前景进行了展望。 展开更多
关键词 随机测试 受约束的随机 功能覆盖率 机器学习 仿真
下载PDF
基于覆盖率驱动的SoC验证技术研究 被引量:5
12
作者 朱车壮 陈岚 冯燕 《微电子学与计算机》 CSCD 北大核心 2011年第11期48-52,共5页
覆盖率数据是验证工程师判定SoC验证完备程度的定性度量,为SoC验证完全性提供了保障,指明了方向.文中以SoC总线仲裁器验证为例,对其结构覆盖率、功能覆盖率、断言覆盖率等多种覆盖率进行了全面的分析,然后根据覆盖率分析结果反馈到RTL... 覆盖率数据是验证工程师判定SoC验证完备程度的定性度量,为SoC验证完全性提供了保障,指明了方向.文中以SoC总线仲裁器验证为例,对其结构覆盖率、功能覆盖率、断言覆盖率等多种覆盖率进行了全面的分析,然后根据覆盖率分析结果反馈到RTL设计代码和测试激励进行修正,直到验证的完整性满足设计的要求. 展开更多
关键词 SoC验证方法 覆盖率驱动 结构覆盖率 功能覆盖率 断言覆盖率
下载PDF
测试覆盖率统计功能设计
13
作者 张洪波 《中国集成电路》 2021年第12期67-71,75,共6页
本文针对ARM M0 CPU芯片,提出了一种在仿真器上实现代码覆盖率统计功能的设计方法。通过增加KIT区实现寄存器的配置、统计数据的存储,通过MDK的DLL设计人机交互界面,实现统计信息的导出与导入,统计功能的使能与关闭,使用上位机软件分析... 本文针对ARM M0 CPU芯片,提出了一种在仿真器上实现代码覆盖率统计功能的设计方法。通过增加KIT区实现寄存器的配置、统计数据的存储,通过MDK的DLL设计人机交互界面,实现统计信息的导出与导入,统计功能的使能与关闭,使用上位机软件分析,就可以得到代码执行覆盖率。本设计可以很快定位测试程序或被测程序的问题,提高程序开发测试效率。 展开更多
关键词 覆盖率统计功能 统计数据存储 程序测试
下载PDF
基于覆盖率的集成电路验证 被引量:7
14
作者 罗登富 赵建明 《现代电子技术》 2009年第14期115-119,共5页
随着集成电路规模和复杂度的逐渐提高,百万千万门级以上的集成电路验证消耗了整个芯片开发过程大约70%的时间,不仅需要专职的团队,而且人数通常是设计团队的1.5~2倍。针对当前超大规模集成电路验证的这个瓶颈,在传统验证平台的... 随着集成电路规模和复杂度的逐渐提高,百万千万门级以上的集成电路验证消耗了整个芯片开发过程大约70%的时间,不仅需要专职的团队,而且人数通常是设计团队的1.5~2倍。针对当前超大规模集成电路验证的这个瓶颈,在传统验证平台的基础上提出了代码覆盖率和功能覆盖率、随机激励与定向激励结合的验证方法。代码覆盖率确保代码的执行,功能覆盖率确保功能点的覆盖,随机与定向激励结合在验证的各个阶段有针对性地编写测试用例,三者相互结合实现高效率验证。此方法在多协议标签交换转发芯片项目中将验证时间缩短了三个月,而且问题的收敛速度加快,验证的规格更可靠。与传统的验证方法相比,此方法提高了验证效率,缩短了验证周期,增强了可靠性,对今后的项目开发有重要借鉴意义和指导意义。 展开更多
关键词 芯片验证 代码覆盖率 功能覆盖率 随机激励 定向激励
下载PDF
基于面向多种覆盖率驱动的验证方法研究 被引量:1
15
作者 董伟杰 张晓静 《中国测试技术》 CAS 2008年第5期54-56,共3页
验证工作就是将设计者的意图与观察到的被验证的IC的预期行为进行的比较和判断。该文采用基于面积多种覆盖率驱动的验证分析流程,对TD-SCDMA终端芯片的ZSP_UART模块的功能覆盖、结构覆盖、断言覆盖、时序覆盖等多种覆盖率进行详细的分... 验证工作就是将设计者的意图与观察到的被验证的IC的预期行为进行的比较和判断。该文采用基于面积多种覆盖率驱动的验证分析流程,对TD-SCDMA终端芯片的ZSP_UART模块的功能覆盖、结构覆盖、断言覆盖、时序覆盖等多种覆盖率进行详细的分析。然后根据分析得出的数据再次进行验证,直到验证的完整性满足设计者的要求。覆盖率是否达到预期的要求,可以作为判断验证工作质量的依据。 展开更多
关键词 验证方法 覆盖率驱动 功能覆盖率 结构覆盖率 断言覆盖率
下载PDF
基于覆盖率驱动的FPGA验证分析
16
作者 汪锋 李贺 《信息系统工程》 2019年第3期50-50,共1页
论文从验证工作的实际出发介绍了代码覆盖率和功能覆盖率的概念和差异性,探讨如何利用代码覆盖率和功能覆盖率的优点,融合分析代码覆盖率和功能覆盖率,科学衡量验证项目的完备性和进度。
关键词 FPGA 代码覆盖率 功能覆盖率
下载PDF
基于X87指令集的浮点除法运算单元设计
17
作者 赵鹏 《微型电脑应用》 2024年第1期65-68,共4页
基于X87指令集架构,在TSMC 65nm的工艺库下,采用SRT-16算法设计一种浮点除法运算单元。SRT-16算法主要是通过采用重叠商与余数计算部分的结构来进行优化处理,使得在原有传统算法SRT-4的基础上增加的电路面积较少,并且使得循环次数减少一... 基于X87指令集架构,在TSMC 65nm的工艺库下,采用SRT-16算法设计一种浮点除法运算单元。SRT-16算法主要是通过采用重叠商与余数计算部分的结构来进行优化处理,使得在原有传统算法SRT-4的基础上增加的电路面积较少,并且使得循环次数减少一半,并且在处理商的结果中引入商的飞速转换技术。通过对基于SystemVerilog自动对比平台的搭建,通过C对比模型,完成自动对比,加速验证的速度,进行功能覆盖统计,完成覆盖率100%。通过DC综合检测电路的时序情况,对电路进行时序优化,完成满足时序要求1.08ns,工作频率为900MHz。 展开更多
关键词 X87指令集 SRT算法 飞速转换技术 功能覆盖率
下载PDF
“申威-1号”高性能微处理器的功能验证 被引量:13
18
作者 黄永勤 朱英 +2 位作者 巨鹏锦 吴志勇 陈诚 《软件学报》 EI CSCD 北大核心 2009年第4期1077-1086,共10页
微处理器设计日趋复杂,如何对微处理器设计进行有效而充分的验证,成为芯片流片成功的关键因素之一.在介绍微处理器功能验证的一般理论和方法的基础上,介绍了"申威-1号"高性能微处理器的功能验证所采用的验证策略及各种验证方... 微处理器设计日趋复杂,如何对微处理器设计进行有效而充分的验证,成为芯片流片成功的关键因素之一.在介绍微处理器功能验证的一般理论和方法的基础上,介绍了"申威-1号"高性能微处理器的功能验证所采用的验证策略及各种验证方法.RTL(register transfer level)级验证是功能验证的重点,模拟验证是"申威-1号"RTL级验证的主要验证手段.详细介绍了如何综合采用多种验证技术来解决RTL级模拟验证的几个关键问题:高质量测试激励生成、模拟结果正确性的快速判断以及验证覆盖率目标的实现.最后对各种验证方法所取得的验证效果进行了分析. 展开更多
关键词 功能验证 伪随机测试激励 功能覆盖率 参考模型 实时比较
下载PDF
基于设计特性覆盖的测试性定量分析方法 被引量:7
19
作者 石君友 王璐 +1 位作者 李海伟 王风武 《系统工程与电子技术》 EI CSCD 北大核心 2012年第2期418-423,共6页
针对目前测试性分析基于故障分析的现状,提出了一种基于系统结构、性能、功能的测试性定量分析方法。定义了系统设计特性覆盖测试模型,系统结构、功能、性能及测试关联模型,性能覆盖率、功能覆盖率、结构覆盖率的定量计算模型。建立了... 针对目前测试性分析基于故障分析的现状,提出了一种基于系统结构、性能、功能的测试性定量分析方法。定义了系统设计特性覆盖测试模型,系统结构、功能、性能及测试关联模型,性能覆盖率、功能覆盖率、结构覆盖率的定量计算模型。建立了基于设计特性覆盖的测试性定量分析流程,主要包括系统基本信息建立、信息间直接关联关系生成,测试覆盖率定量计算及测试未覆盖项分析。以某飞机舵机系统为例进行了应用,结果验证了方法的可行性和有效性。 展开更多
关键词 测试性 性能覆盖率 功能覆盖率 结构覆盖率 测试性分析
下载PDF
基于UVM的存储控制器功能验证 被引量:7
20
作者 曹阳 胡越黎 《计算机测量与控制》 2015年第3期834-837,共4页
采用通用验证方法学(UVM)搭建验证平台,以AHB总线上挂载的存储控制器为验证对象,重点分析了UVM验证平台的设计;采用传统的定向验证方法将很难遍历到所有情况,而通过UVM验证平台能够产生受约束的随机激励信号,对存储控制器进行全面的验证... 采用通用验证方法学(UVM)搭建验证平台,以AHB总线上挂载的存储控制器为验证对象,重点分析了UVM验证平台的设计;采用传统的定向验证方法将很难遍历到所有情况,而通过UVM验证平台能够产生受约束的随机激励信号,对存储控制器进行全面的验证,并能自动收集功能覆盖率和分析验证结果;验证结果表明,该验证平台能有效地查出设计缺陷,达到覆盖率要求,减少验证时间,提高验证效率,且具有良好的可配置性和可复用性。 展开更多
关键词 UVM验证方法学 存储控制器 受约束的随机化激励 功能覆盖率
下载PDF
上一页 1 2 4 下一页 到第
使用帮助 返回顶部