期刊文献+
共找到40篇文章
< 1 2 >
每页显示 20 50 100
Friction-Induced Nanofabrication: A Review 被引量:3
1
作者 Bingjun Yu Linmao Qian 《Chinese Journal of Mechanical Engineering》 SCIE EI CAS CSCD 2021年第2期26-51,共26页
As the bridge between basic principles and applications of nanotechnology,nanofabrication methods play significant role in supporting the development of nanoscale science and engineering,which is changing and improvin... As the bridge between basic principles and applications of nanotechnology,nanofabrication methods play significant role in supporting the development of nanoscale science and engineering,which is changing and improving the production and lifestyle of the human.Photo lithography and other alternative technologies,such as nanoimprinting,electron beam lithography,focused ion beam cutting,and scanning probe lithography,have brought great progress of semiconductor industry,IC manufacturing and micro/nanoelectromechanical system(MEMS/NEMS)devices.However,there remains a lot of challenges,relating to the resolution,cost,speed,and so on,in realizing high-quality products with further development of nanotechnology.None of the existing techniques can satisfy all the needs in nanoscience and nanotechnology at the same time,and it is essential to explore new nanofabrication methods.As a newly developed scanning probe microscope(SPM)-based lithography,friction-induced nanofabrication provides opportunities for maskless,flexible,low-damage,low-cost and environment-friendly processing on a wide variety of materials,including silicon,quartz,glass surfaces,and so on.It has been proved that this fabrication route provides with a broad application prospect in the fabrication of nanoimprint templates,microfluidic devices,and micro/nano optical structures.This paper hereby involved the principals and operations of friction-induced nanofabrication,including friction-induced selective etching,and the applications were reviewed as well for looking ahead at opportunities and challenges with nanotechnology development.The present review will not only enrich the knowledge in nanotribology,but also plays a positive role in promoting SPM-based nanofabrication. 展开更多
关键词 Scanning probe microscope Tip-based lithography Friction-induced nanofabrication Friction-induced selective etching
下载PDF
Nanoimprint Lithography:A Processing Technique for Nanofabrication Advancement 被引量:3
2
作者 Weimin Zhou Guoquan Min +4 位作者 Jing Zhang Yanbo Liu Jinhe Wang Yanping Zhang Feng Sun 《Nano-Micro Letters》 SCIE EI CAS 2011年第2期135-140,共6页
Nanoimprint lithography(NIL) is an emerging micro/nano-patterning technique,which is a high-resolution,high-throughput and yet simple fabrication process.According to International Technology Roadmap for Semiconductor... Nanoimprint lithography(NIL) is an emerging micro/nano-patterning technique,which is a high-resolution,high-throughput and yet simple fabrication process.According to International Technology Roadmap for Semiconductor(ITRS),NIL has emerged as the next generation lithography candidate for the22 nm and 16 nm technological nodes.In this paper,we present an overview of nanoimprint lithography.The classfication,research focus,critical issues,and the future of nanoimprint lithography are intensively elaborated.A pattern as small as 2.4 nm has been demonstrated.Full-wafer nanoimprint lithography has been completed on a 12-inch wafer.Recently,12.5 nm pattern resolution through soft molecular scale nanoimprint lithography has been achieved by EV Group,a leading nanoimprint lithography technology supplier. 展开更多
关键词 Nanoimprint lithography Soft molecular scale nanofabrication
下载PDF
Research on Nanofabrication Technology of Micro-/Nano-Stereo Rapid Prototyping of PCVD
3
作者 Sandy TO 《厦门大学学报(自然科学版)》 CAS CSCD 北大核心 2002年第S1期280-,共1页
At present, the most common micro/nano-scale fabri ca tion processes include the plane silicon process based on IC technology, stereo silicon process, LIGA, quasi-LIGA based on near ultra violet deep lithography, MEMS... At present, the most common micro/nano-scale fabri ca tion processes include the plane silicon process based on IC technology, stereo silicon process, LIGA, quasi-LIGA based on near ultra violet deep lithography, MEMS, energy beam etching and micro/nano-machining, etc. A common problem for t hese processes is the difficulty to fabricate arbitrary form for 3-dimensional micro/nano-parts, devices or mechanisms. To develop advanced MEMS manufacturin g technology, and to achieve fabrication of true 3-dimensional parts, devices or mechanisms, this paper proposes a nanofabrication technology for rapid proto typing of 3-dimensional parts, using plasma chemical vapor deposition (PCVD). This process can be describes as follows: A laser beam is produced by a low power, quasi molecule laser. It enters the vac uum chamber through a window, and is focused on with the substrate surface. A ga s in the chamber is ionized by the laser beam to produce PCVD on the substrate s urface, and forms a particle of the size of Ф100 nm (its thickness is about 100 nm). When the laser beam moves along X-axis, many particles form a line. Then the laser beam moves one step in Y-axis to form a new line. A plane is complete d by many lines. Then the substrate moves in Z-axis to form new plane. Eventu ally, many planes form a 3-dimensional component. Using available CAD/CAM softw are with this process, rapid prototyping of complex components can be achieved. A nanometer precision linear motor, such as that described in Chinese national p atent (patent No. ZL 98 2 16753.9), can be used to obtain the nanometer precisio n movements in the process. The process does not require mask, can be used for v arious rapid prototyping materials, to obtain high fabrication precision (its sc ale precision is 15 nm), and larger ratio of height to width of micro/nano-stru cture. It can find widespread applications in the fabrication of micro-mechani sm, trimming IC, and fabricating minilens, etc. 展开更多
关键词 PLASMA nanofabrication rapid prototyping advan ced manufacturing technology micro/nano-technology
下载PDF
Helium-ion-beam nanofabrication: extreme processes and applications
4
作者 Shixuan He Rong Tian +2 位作者 Wei Wu Wen-Di Li Deqiang Wang 《International Journal of Extreme Manufacturing》 EI 2021年第1期1-23,共23页
Helium ion beam(HIB)technology plays an important role in the extreme fields of nanofabrication.This paper reviews the latest developments in HIB technology as well as its extreme processing capabilities and widesprea... Helium ion beam(HIB)technology plays an important role in the extreme fields of nanofabrication.This paper reviews the latest developments in HIB technology as well as its extreme processing capabilities and widespread applications in nanofabrication.HIB-based nanofabrication includes direct-write milling,ion beam-induced deposition,and direct-write lithography without resist assistance.HIB nanoscale applications have also been evaluated in the areas of integrated circuits,materials sciences,nano-optics,and biological sciences.This review covers four thematic applications of HIB:(1)helium ion microscopy imaging for biological samples and semiconductors;(2)HIB milling and swelling for 2D/3D nanopore fabrication;(3)HIB-induced deposition for nanopillars,nanowires,and 3D nanostructures;(4)additional HIB direct writing for resist,graphene,and plasmonic nanostructures.This paper concludes with a summary of potential future applications and areas of improvement for HIB extreme nanofabrication technology. 展开更多
关键词 helium ion beam extreme nanofabrication direct writing NANOSTRUCTURES
下载PDF
Ice lithography for 3D nanofabrication 被引量:1
5
作者 Ding Zhao Anpan Han Min Qiu 《Science Bulletin》 SCIE EI CAS CSCD 2019年第12期865-871,共7页
Nanotechnology and nanoscience are enabled by nanofabrication. Electron-beam lithography, which makes 2 D patterns down to a few nanometers, is one of the fundamental pillars of nanofabrication.Recently, significant p... Nanotechnology and nanoscience are enabled by nanofabrication. Electron-beam lithography, which makes 2 D patterns down to a few nanometers, is one of the fundamental pillars of nanofabrication.Recently, significant progress in 3 D electron-beam-based nanofabrication has been made, such as the emerging ice lithography technology, in which ice thin-films are patterned by a focused electronbeam. Here, we review the history and progress of ice lithography, and focus on its applications in efficient 3 D nanofabrication and additive manufacturing or nanoscale 3 D printing. The finest linewidth made using frozen octane is below 5 nm, and nanostructures can be fabricated in selected areas on non-planar surfaces such as freely suspended nanotubes or nanowires. As developing custom instruments is required to advance this emerging technology, we discuss the evolution of ice lithography instruments and highlight major instrumentation advances. Finally, we present the perspectives of 3 D printing of functional materials using organic ices. We believe that we barely scratched the surface of this new and exciting research area, and we hope that this review will stimulate cutting-edge and interdisciplinary research that exploits the undiscovered potentials of ice lithography for 3 D photonics, electronics and 3 D nanodevices for biology and medicine. 展开更多
关键词 NANOTECHNOLOGY nanofabrication Electron-beam lithography Ice lithography 3D nanofabrication Additive manufacturing Organic ice
原文传递
Simultaneous additive and subtractive three-dimensional nanofabrication using integrated two-photon polymerization and multiphoton ablation 被引量:9
6
作者 Wei Xiong Yun Shen Zhou +5 位作者 Xiang Nan He Yang Gao Masoud Mahjouri-Samani Lan Jiang Tommaso Baldacchini Yong Feng Lu 《Light(Science & Applications)》 SCIE EI CAS 2012年第1期123-126,共4页
Modern three-dimensional nanofabrication requires both additive and subtractive processes.However,both processes are largely isolated and generally regarded as incompatible with each other.In this study,we developed s... Modern three-dimensional nanofabrication requires both additive and subtractive processes.However,both processes are largely isolated and generally regarded as incompatible with each other.In this study,we developed simultaneous additive and subtractive fabrication processes using two-photon polymerization followed by femtosecond(fs)laser multiphoton ablation.To demonstrate the new capability,submicrometer polymer fibers containing periodic holes of 500-nm diameter and microfluidic channels of 1-mm diameter were successfully fabricated.This method combining both two-photon polymerization and fs laser ablation improves the nanofabrication efficiency and enables the fabrication of complex three-dimensional micro-/nanostructures,promising for a wide range of applications in integrated optics,microfluidics and microelectromechanical systems. 展开更多
关键词 femtosecond laser direct writing femtosecond laser multiphoton ablation micro-/nanofabrication two-photon polymerization
原文传递
Advances in diamond nanofabrication for ultrasensitive devices 被引量:1
7
作者 Stefania Castelletto Lorenzo Rosa +2 位作者 Jonathan Blackledge Mohammed Zaher Al Abri Albert Boretti 《Microsystems & Nanoengineering》 EI CSCD 2017年第1期80-95,共16页
This paper reviews some of the major recent advances in single-crystal diamond nanofabrication and its impact in nano-and micromechanical,nanophotonics and optomechanical components.These constituents of integrated de... This paper reviews some of the major recent advances in single-crystal diamond nanofabrication and its impact in nano-and micromechanical,nanophotonics and optomechanical components.These constituents of integrated devices incorporating specific dopants in the material provide the capacity to enhance the sensitivity in detecting mass and forces as well as magnetic field down to quantum mechanical limits and will lead pioneering innovations in ultrasensitive sensing and precision measurements in the realm of the medical sciences,quantum sciences and related technologies. 展开更多
关键词 nano-diamonds nanofabrication nanomechanics NANOPHOTONICS OPTOMECHANICS
原文传递
Tip-and Laser-based 3D Nanofabrication in Extended Macroscopic Working Areas
8
作者 Ingo Ortlepp Thomas Frohlich +26 位作者 Roland FuBl Johann Reger Christoph Schaffel Stefan Sinzinger Steffen Strehle ReneTheska Lena Zentner Jens-Peter Zollner Ivo WRangelow Carsten Reinhardt Tino Hausotte Xinrui Cao Oliver Dannberg Florian Fern David Fischer Stephan Gorges Martin Hofmann Johannes Kirchner Andreas Meister Taras Sasiuk Ralf Schienbein Shraddha Supreeti Laura Mohr-Weidenfeller Christoph Weise Christoph Reuter Jaqueline Stauffenberg Eberhard Manske 《Nanomanufacturing and Metrology》 2021年第3期132-148,共17页
The field of optical lithography is subject to intense research and has gained enormous improvement.However,the effort necessary for creating structures at the size of 20 nm and below is considerable using conventiona... The field of optical lithography is subject to intense research and has gained enormous improvement.However,the effort necessary for creating structures at the size of 20 nm and below is considerable using conventional technologies.This effort and the resulting financial requirements can only be tackled by few global companies and thus a paradigm change for the semiconductor industry is conceivable:custom design and solutions for specific applications will dominate future development(Fritze in:Panning EM,Liddle JA(eds)Novel patterning technologies.International society for optics and photonics.SPIE,Bellingham,2021.https://doi.org/10.1117/12.2593229).For this reason,new aspects arise for future lithography,which is why enormous effort has been directed to the development of alternative fabrication technologies.Yet,the technologies emerging from this process,which are promising for coping with the current resolution and accuracy challenges,are only demonstrated as a proof-of-concept on a lab scale of several square micrometers.Such scale is not adequate for the requirements of modern lithography;therefore,there is the need for new and alternative cross-scale solutions to further advance the possibilities of unconventional nanotechnologies.Similar challenges arise because of the technical progress in various other fields,realizing new and unique functionalities based on nanoscale effects,e.g.,in nanophotonics,quantum computing,energy harvesting,and life sciences.Experimental platforms for basic research in the field of scale-spanning nanomeasuring and nanofabrication are necessary for these tasks,which are available at the Technische Universitiit Ilmenau in the form of nanopositioning and nanomeasuring(NPM)machines.With this equipment,the limits of technical structurability are explored for high-performance tip-based and laser-based processes for enabling real 3D nanofabrication with the highest precision in an adequate working range of several thousand cubic millimeters. 展开更多
关键词 Nanomeasuring NANOPOSITIONING Nanomanufacturing Scale-spanning Tip-based Laser-based nanofabrication
原文传递
Dielectric barrier discharge plasma synthesis of Ag/γ-Al_(2)O_(3) catalysts for catalytic oxidation of CO
9
作者 陶云明 胥月兵 +4 位作者 常宽 陈美玲 Sergey A STAROSTIN 许虎君 林良良 《Plasma Science and Technology》 SCIE EI CAS CSCD 2023年第8期113-121,共9页
In this study,Ag/γ-Al_(2)O_(3)catalysts were synthesized by an Ar dielectric barrier discharge plasma using silver nitrate as the Ag source andγ-alumina(γ-Al_(2)O_(3))as the support.It is revealed that plasma can r... In this study,Ag/γ-Al_(2)O_(3)catalysts were synthesized by an Ar dielectric barrier discharge plasma using silver nitrate as the Ag source andγ-alumina(γ-Al_(2)O_(3))as the support.It is revealed that plasma can reduce silver ions to generate crystalline silver nanoparticles(Ag NPs)of good dispersion and uniformity on the alumina surface,leading to the formation of Ag/γ-Al_(2)O_(3)catalysts in a green manner without traditional chemical reductants.Ag/γ-Al_(2)O_(3)exhibited good catalytic activity and stability in CO oxidation reactions,and the activity increased with increase in the Ag content.For catalysts with more than 2 wt%Ag,100%CO conversion can be achieved at 300°C.The catalytic activity of the Ag/γ-Al_(2)O_(3)catalysts is also closely related to the size of theγ-alumina,where Ag/nano-γ-Al_(2)O_(3)catalysts demonstrate better performance than Ag/micro-γ-Al_(2)O_(3)catalysts with the same Ag content.In addition,the catalytic properties of plasma-generated Ag/nano-γ-Al_(2)O_(3)(Ag/γ-Al_(2)O_(3)-P)catalysts were compared with those of Ag/nano-γ-Al_(2)O_(3)catalysts prepared by the traditional calcination approach(Ag/γ-Al_(2)O_(3)-C),with the plasma-generated samples demonstrating better overall performance.This simple,rapid and green plasma process is considered to be applicable for the synthesis of diverse noble metal-based catalysts. 展开更多
关键词 DBD plasma plasma nanofabrication noble metal nanoparticles CO oxidation Ag/-Al2O3 catalysts
下载PDF
Nonlinear frequency conversion in optical nanoantennas and metasurfaces:materials evolution and fabrication 被引量:3
10
作者 Mohsen Rahmani Giuseppe Leo +14 位作者 Igal Brener Anatoly V. Zayats Stefan A. Maier Costantino De Angelis Hoe Tan Valerio Flavio Gili Fouad Karouta Rupert Oulton Kaushal Vora Mykhaylo Lysevych Isabelle Staude Lei Xu Andrey E. Miroshnichenko Chennupati Jagadish Dragomir N. Neshev 《Opto-Electronic Advances》 2018年第10期1-12,共12页
Nonlinear frequency conversion is one of the most fundamental processes in nonlinear optics.It has a wide range of applications in our daily lives,including novel light sources,sensing,and information processing.It is... Nonlinear frequency conversion is one of the most fundamental processes in nonlinear optics.It has a wide range of applications in our daily lives,including novel light sources,sensing,and information processing.It is usually assumed that nonlinear frequency conversion requires large crystals that gradually accumulate a strong effect.However,the large size of nonlinear crystals is not compatible with the miniaturisation of modern photonic and optoelectronic systems.Therefore,shrinking the nonlinear structures down to the nanoscale,while keeping favourable conversion efficiencies,is of great importance for future photonics applications.In the last decade,researchers have studied the strategies for enhancing the nonlinear efficiencies at the nanoscale,e.g.by employing different nonlinear materials,resonant couplings and hybridization techniques.In this paper,we provide a compact review of the nanomaterials-based efforts,ranging from metal to dielectric and semiconductor nanostructures,including their relevant nanofabrication techniques. 展开更多
关键词 NONLINEAR NANOPHOTONICS METALLIC NANOANTENNAS dielectric NANOANTENNAS III-V SEMICONDUCTOR nanoantenna nanofabrication
下载PDF
Precision integration of grating-based polarizers onto focal plane arrays of near-infrared photovoltaic detectors for enhanced contrast polarimetric imaging 被引量:4
11
作者 Bo Feng Yifang Chen +4 位作者 Duo Sun Zongyao Yang Bo Yang Xue Li Tao Li 《International Journal of Extreme Manufacturing》 EI 2021年第3期95-102,共8页
Polarimetric imaging enhances the ability to distinguish objects from a bright background by detecting their particular polarization status,which offers another degree of freedom in infrared remote sensing.However,to ... Polarimetric imaging enhances the ability to distinguish objects from a bright background by detecting their particular polarization status,which offers another degree of freedom in infrared remote sensing.However,to scale up by monolithically integrating grating-based polarizers onto a focal plane array(FPA)of infrared detectors,fundamental technical obstacles must be overcome,including reductions of the extinction ratio by the misalignment between the polarizer and the detector,grating line width fluctuations,the line edge roughness,etc.This paper reports the authors’latest achievements in overcoming those problems by solving key technical issues regarding the integration of large-scale polarizers onto the chips of FPAs with individual indium gallium arsenide/indium phosphide(In Ga As/In P)sensors as the basic building blocks.Polarimetric and photovoltaic chips with divisions of the focal plane of 540×4 pixels and 320×256 superpixels have been successfully manufactured.Polarimetric imaging with enhanced contrast has been demonstrated.The progress made in this work has opened up a broad avenue toward industrialization of high quality polarimetric imaging in infrared wavelengths. 展开更多
关键词 polarimetric imaging grating based polarizer INGAAS/INP focal plane array nanofabrication
下载PDF
Fabrication and Applications of Micro/Nanostructured Devices for Tissue Engineering 被引量:1
12
作者 Tania Limongi Luca Tirinato +5 位作者 Francesca Pagliari Andrea Giugni Marco Allione Gerardo Perozziello Patrizio Candeloro Enzo Di Fabrizio 《Nano-Micro Letters》 SCIE EI CAS 2017年第1期1-13,共13页
Nanotechnology allows the realization of new materials and devices with basic structural unit in the range of1–100 nm and characterized by gaining control at the atomic, molecular, and supramolecular level. Reducing ... Nanotechnology allows the realization of new materials and devices with basic structural unit in the range of1–100 nm and characterized by gaining control at the atomic, molecular, and supramolecular level. Reducing the dimensions of a material into the nanoscale range usually results in the change of its physiochemical properties such as reactivity,crystallinity, and solubility. This review treats the convergence of last research news at the interface of nanostructured biomaterials and tissue engineering for emerging biomedical technologies such as scaffolding and tissue regeneration. The present review is organized into three main sections. The introduction concerns an overview of the increasing utility of nanostructured materials in the field of tissue engineering. It elucidates how nanotechnology, by working in the submicron length scale, assures the realization of a biocompatible interface that is able to reproduce the physiological cell–matrix interaction. The second, more technical section, concerns the design and fabrication of biocompatible surface characterized by micro- and submicroscale features, using microfabrication, nanolithography, and miscellaneous nanolithographic techniques.In the last part, we review the ongoing tissue engineering application of nanostructured materials and scaffolds in different fields such as neurology, cardiology, orthopedics, and skin tissue regeneration. 展开更多
关键词 NANOMATERIALS NANOSTRUCTURES MICROFABRICATION nanofabrication DEVICE Tissue engineering
下载PDF
Directed self-assembly of block copolymers for sub-10 nm fabrication 被引量:5
13
作者 Yu Chen Shisheng Xiong 《International Journal of Extreme Manufacturing》 2020年第3期126-159,共34页
Directed self-assembly(DSA)emerges as one of the most promising new patterning techniques for single digit miniaturization and next generation lithography.DSA achieves high-resolution patterning by molecular assembly ... Directed self-assembly(DSA)emerges as one of the most promising new patterning techniques for single digit miniaturization and next generation lithography.DSA achieves high-resolution patterning by molecular assembly that circumvents the diffraction limit of conventional photolithography.Recently,the International Roadmap for Devices and Systems listed DSA as one of the advanced lithography techniques for the fabrication of 3-5 nm technology node devices.DSA can be combined with other lithography techniques,such as extreme ultra violet(EUV)and 193 nm immersion(193i),to further enhance the patterning resolution and the device density.So far,DSA has demonstrated its superior ability for the fabrication of nanoscale devices,such as fin field effect transistor and bit pattern media,offering a variety of configurations for high-density integration and low-cost manufacturing.Over 1 T in-2 device density can be achieved either by direct templating or coupled with nanoimprinting to improve the throughput.The development of high x block copolymer further enhances the patterning resolution of DSA.In addition to its superiority in high-resolution patterning,the implementation ofDSA on a 300 mm pivot line fully demonstrates its potential for large-scale,high-throughput,and cost-effective manufacturing in industrial environment. 展开更多
关键词 directed self-assembly LITHOGRAPHY nanofabrication sub-10 nm block copolymer
下载PDF
Fabrication of Y-junction Metal Nanowires by AAO Template-assisted AC Electrodeposition 被引量:1
14
作者 Huanan Duan Zhenhai Xia Jianyu Liang 《Nano-Micro Letters》 SCIE EI CAS 2010年第4期290-295,共6页
In this communication,we report a synthetic approach to fabricate Y-junction Co nanowires and Y-junction Cu nanowires by AC electrodeposition using a hierarchically designed anodized aluminum oxide template.Morphology... In this communication,we report a synthetic approach to fabricate Y-junction Co nanowires and Y-junction Cu nanowires by AC electrodeposition using a hierarchically designed anodized aluminum oxide template.Morphology study showe that diameters of the stems and branches of the Y-junction nanowires were about 40 nm and 20 nm respectively.Structural analysis indicates that Co nanowires had a mixture of face-center-cubic and hexagonal-close-packed structures,whereas Cu nanowires had a face-center-cubic structure with a <110> texture.The Y-junction Co nanowires exhibited a longitudinal coercivity of 1300 Oe and remnant magnetization of 56%,which was affected by the growth direction and microstructure.The present method can be extended to other metallic systems and thus provides a simple and efficient way to fabricate Y-junction metal nanowires. 展开更多
关键词 AAO template nanofabrication AC electrodeposition Y-junction metal nanowires Magnetic property
下载PDF
Scanning probe lithography on calixarene towards single-digit nanometer fabrication 被引量:2
15
作者 Marcus Kaestner Ivo W Rangelow 《International Journal of Extreme Manufacturing》 2020年第3期104-124,共21页
Cost effective patterning based on scanning probe nanolithography(SPL)has the potential for electronic and optical nano-device manufacturing and other nanotechnological applications.One of the fundamental advantages o... Cost effective patterning based on scanning probe nanolithography(SPL)has the potential for electronic and optical nano-device manufacturing and other nanotechnological applications.One of the fundamental advantages of SPL is its capability for patterning and imaging employing the same probe.This is achieved with self-sensing and self-actuating cantilevers,also known as‘active'cantilevers.Here we used active cantilevers to demonstrate a novel path towards single digit nanoscale patterning by employing a low energy(<100 eV)electron exposure to thin films of molecular resist.By tuning the electron energies to the lithographically relevant chemical resist transformations,the interaction volumes can be highly localized.This method allows for greater control over spatially confined lithography and enhances sensitivity.We found that at low electron energies,the exposure in ambient conditions required approximately 10 electrons per single calixarene molecule to induce a crosslinking event.The sensitivity was 80-times greater than a classical electron beam exposure at 30 keV.By operating the electro-exposure process in ambient conditions a novel lithographic reaction scheme based on a direct ablation of resist material(positive tone)is presented. 展开更多
关键词 nanofabrication field-emission scanning probe lithography single nanometer lithography molecular resist
下载PDF
Precise assembly and joining of silver nanowires in three dimensions for highly conductive composite structures 被引量:1
16
作者 Ying Liu Wei Xiong +7 位作者 Da Wei Li Yao Lu Xi Huang Huan Liu Li Sha Fan Lan Jiang Jean-François Silvain Yong Feng Lu 《International Journal of Extreme Manufacturing》 2019年第2期88-102,共15页
Three-dimensional(3D)electrically conductive micro/nanostructures are now a key component in a broad range of research and industry fields.In this work,a novel method is developed to realize metallic 3D micro/nanostru... Three-dimensional(3D)electrically conductive micro/nanostructures are now a key component in a broad range of research and industry fields.In this work,a novel method is developed to realize metallic 3D micro/nanostructures with silver-thiol-acrylate composites via two-photon polymerization followed by femtosecond laser nanojoining.Complex 3D micro/nanoscale conductive structures have been successfully fabricated with∼200 nm resolution.The loading of silver nanowires(AgNWs)and joining of junctions successfully enhance the electrical conductivity of the composites from insulating to 92.9 Sm^−1 at room temperature.Moreover,for the first time,a reversible switching to a higher conductivity is observed,up to∼10^5Sm^−1 at 523 K.The temperature-dependent conductivity of the composite is analyzed following the variable range hopping and thermal activation models.The nanomaterial assembly and joining method demonstrated in this study pave a way towards a wide range of device applications,including 3D electronics,sensors,memristors,micro/nanoelectromechanical systems,and biomedical devices,etc. 展开更多
关键词 precise assembly joining silver nanowires nanofabrication three dimensional
下载PDF
A dynamic infiltration technique to synthesize nanolayered cathodes for high performance and robust solid oxide fuel cells
17
作者 Saeed Ur Rehman Ho-Seon Song +7 位作者 Hye-Sung Kim Muhammad Haseeb Hassan Dong-Woo Joh Rak-Hyun Song Tak-Hyoung Lim Jong-Eun Hong Seok-Joo Park Seung-Bok Lee 《Journal of Energy Chemistry》 SCIE EI CAS CSCD 2022年第7期201-210,I0006,共11页
Solution infiltration is a popular technique for the surface modification of solid oxide fuel cell(SOFC)cathodes.However,the synthesis of nanostructured SOFC cathodes by infiltration is a tedious process that often re... Solution infiltration is a popular technique for the surface modification of solid oxide fuel cell(SOFC)cathodes.However,the synthesis of nanostructured SOFC cathodes by infiltration is a tedious process that often requires several infiltration and high temperature(≥500℃)calcination cycles.Moreover,fabricating large-area nanostructured cathodes via infiltration still requires serious attention.Here,we propose a facile and scalable urea assisted ultrasonic spray infiltration technique for nanofabrication of SOFC cathodes.It is demonstrated that by using urea as a precipitating agent,the calcination after each infiltration cycle can be omitted and the next infiltration can be performed just after a drying step(≤100℃).Finally,the precipitates can be converted into a desired catalyst phase in single calcination thus,a nanostructured cathode can be fabricated in a much faster manner.It is also shown that the low calcination temperature of the cathode(≤900℃)can produce highly durable SOFC performance even without employing a Ce_(0.9)Gd_(0.1)O_(2)(GDC)diffusion barrier layer which provides the ease of SOFC fabrication.While coupling with an ultrasonic spray technique,the urea assisted infiltration can be scaled up for any desired cathode area.La_(0.6)Sr_(0.4)Co_(0.2)Fe_(0.8)O_(3) nanolayered cathode was fabricated and it was characterized by scanning electron microscope(SEM),X-ray diffraction(XRD),and transmission electron microscopy(TEM)techniques.SEM showed the formation of a nanolayer cathode just after 5 cycles of the urea assisted infiltration while the XRD and TEM confirmed the phase and stoichiometric uniformity of the 100 nm cathode nanolayer.The effectiveness of the newly developed technique was further verified by the stable operation of a GDC buffer layer free SOFC having an active cathode area of 25 cm^(2) during a 1200 h durability test.The research outcomes propose urea assisted ultrasonic spray infiltration as a facile,scalable,and commercially viable method for the fabrication of durable nanostructured SOFC cathodes. 展开更多
关键词 Solid oxide fuel cell(SOFC) Cathode INFILTRATION NANOLAYER nanofabrication GDC barrier layer free SOFC
下载PDF
High-Speed Parallel Plasmonic Direct-Writing Nanolithography Using Metasurface-Based Plasmonic Lens
18
作者 Yueqiang Hu Ling Li +5 位作者 Rong Wang Jian Song Hongdong Wang Huigao Duan Jiaxin Ji Yonggang Meng 《Engineering》 SCIE EI 2021年第11期1623-1630,共8页
Simple and efficient nanofabrication technology with low cost and high flexibility is indispensable for fundamental nanoscale research and prototyping.Lithography in the near field using the surface plasmon polariton(... Simple and efficient nanofabrication technology with low cost and high flexibility is indispensable for fundamental nanoscale research and prototyping.Lithography in the near field using the surface plasmon polariton(i.e.,plasmonic lithography)provides a promising solution.The system with high stiffness passive nanogap control strategy on a high-speed rotating substrate is one of the most attractive highthroughput methods.However,a smaller and steadier plasmonic nanogap,new scheme of plasmonic lens,and parallel processing should be explored to achieve a new generation high resolution and reliable efficient nanofabrication.Herein,a parallel plasmonic direct-writing nanolithography system is established in which a novel plasmonic flying head is systematically designed to achieve around 15 nm minimum flying-height with high parallelism at the rotating speed of 8–18 m·s^(-1).A multi-stage metasurface-based polarization insensitive plasmonic lens is proposed to couple more power and realize a more confined spot compared with conventional plasmonic lenses.Parallel lithography of the nanostructures with the smallest(around 26 nm)linewidth is obtained with the prototyping system.The proposed system holds great potential for high-freedom nanofabrication with low cost,such as planar optical elements and nano-electromechanical systems. 展开更多
关键词 nanofabrication Surface plasmon polariton LITHOGRAPHY Plasmonic flying head Plasmonic lens
下载PDF
Laser synthesis and functionalization of nanostructures
19
作者 Costas P Grigoropoulos 《International Journal of Extreme Manufacturing》 2019年第1期38-50,共13页
This article summarizes work at the Laser Thermal Laboratory and discusses related studies on the laser synthesis and functionalization of semiconductor nanostructures and two-dimensional(2D)semiconductor materials.Re... This article summarizes work at the Laser Thermal Laboratory and discusses related studies on the laser synthesis and functionalization of semiconductor nanostructures and two-dimensional(2D)semiconductor materials.Research has been carried out on the laser-induced crystallization of thin films and nanostructures.The in situ transmission electron microscopy(TEM)monitoring of the crystallization of amorphous precursors in nanodomains is discussed herein.The directed assembly of silicon nanoparticles and the modulation of their optical properties by phase switching is presented.The vapor-liquid-solid mechanism has been adopted as a bottom-up approach in the synthesis of semiconducting nanowires(NWs).In contrast to furnace heating methods,laser irradiation offers high spatial selectivity and precise control of the heating mechanism in the time domain.These attributes enabled the investigation of NW nucleation and the early stage of nanostructure growth.Site-and shape-selective,on-demand direct integration of oriented NWs was accomplished.Growth of discrete silicon NWs with nanoscale location selectivity by employing near-field laser illumination is also reported herein.Tuning the properties of 2D transition metal dichalcogenides(TMDCs)by modulating the free carrier type,density,and composition can offer an exciting new pathway to various practical nanoscale electronics.In situ Raman probing of laser-induced processing of TMDC flakes was conducted in a TEM instrument. 展开更多
关键词 laser nanofabrication laser crystallization nanowire growth near field scanning optical microscopy transition metal dichalcogenides
下载PDF
Unidirectional rotation of circles driven by chiral active particles
20
作者 陈佳敏 周晓琳 章林溪 《Chinese Physics B》 SCIE EI CAS CSCD 2018年第11期634-640,共7页
The dynamics of two-dimensional rigid circles filled with chiral active particles are investigated by employing the overdamped Langevin dynamics simulations. Unidirectional rotation of rigid circles is observed, and t... The dynamics of two-dimensional rigid circles filled with chiral active particles are investigated by employing the overdamped Langevin dynamics simulations. Unidirectional rotation of rigid circles is observed, and the rotational angular velocity(ω) relies mainly on the length(l), the number(nB), and tilt angle(γ) of boards, and the angular velocity(ω)and area fraction(ρ) of chiral active particles. There are optimum values for these parameters at which the average angular velocity of circle reaches its maximum. The center-of-mass mean square displacement for circles drops by about two orders of magnitude for large angular velocity ω of chiral active particles with oscillations in the short-time regime. Our work demonstrates that nanofabricated objects with suitable designs immersed in a bath of chiral active particles can extract and rectify energy in a unidirectional motion. 展开更多
关键词 unidirectional rotation dynamics chiral active particle nanofabricated object
原文传递
上一页 1 2 下一页 到第
使用帮助 返回顶部