期刊文献+
共找到402篇文章
< 1 2 21 >
每页显示 20 50 100
SS-SERA:An improved framework for architectural level soft error reliability analysis 被引量:2
1
作者 成玉 马安国 +2 位作者 王永文 唐遇星 张民选 《Journal of Central South University》 SCIE EI CAS 2012年第11期3129-3146,共18页
Integrated with an improved architectural vulnerability factor (AVF) computing model,a new architectural level soft error reliability analysis framework,SS-SERA (soft error reliability analysis based on SimpleScalar),... Integrated with an improved architectural vulnerability factor (AVF) computing model,a new architectural level soft error reliability analysis framework,SS-SERA (soft error reliability analysis based on SimpleScalar),was developed.SS-SERA was used to estimate the AVFs for various on-chip structures accurately.Experimental results show that the AVFs of issue queue (IQ),register update units (RUU),load store queue (LSQ) and functional unit (FU) are 38.11%,22.17%,23.05% and 24.43%,respectively.For address-based structures,i.e.,level1 data cache (L1D),DTLB,level2 unified cache (L2U),level1 instruction cache (L1I) and ITLB,AVFs of their data arrays are 22.86%,27.57%,14.80%,8.25% and 12.58%,lower than their tag arrays' AVFs which are 30.01%,28.89%,17.69%,10.26% and 13.84%,respectively.Furthermore,using the AVF values obtained with SS-SERA,a qualitative and quantitative analysis of the AVF variation and predictability was performed for the structures studied.Experimental results show that the AVF exhibits significant variations across different structures and workloads,and is influenced by multiple microarchitectural metrics and their interactions.Besides,AVFs of SPEC2K floating point programs exhibit better predictability than SPEC2K integer programs. 展开更多
关键词 可靠性分析 框架建筑 微体系结构 高速缓存 功能单元 数据阵列 工作负载 计算模型
下载PDF
SEDSR: Soft Error Detection Using Software Redundancy
2
作者 Seyyed Amir Asghari Atena Abdi +2 位作者 Hassan Taheri Hossein Pedram Saadat Pourmozaffari 《Journal of Software Engineering and Applications》 2012年第9期664-670,共7页
This paper presents a new method for soft error detection using software redundancy (SEDSR) that is able to detect transient faults. Soft errors damage the control flow and data of programs and designers usually use h... This paper presents a new method for soft error detection using software redundancy (SEDSR) that is able to detect transient faults. Soft errors damage the control flow and data of programs and designers usually use hardware-based solutions to handle them. Software-based techniques for soft error detection force less cost and delay to systems and do not change their configuration. Therefore, these kinds of methods are appropriate alternatives for hardware-based techniques. SEDSR has two separate parts for data and control flow errors detection. Fault injection method is used to compare SEDSR with previous methods of this field based on the new parameter of “Evaluation Factor” that takes in account fault coverage, memory and performance overheads. These parameters are important in real time safety critical applications. Experimental results on SPEC2000 and some traditional benchmarks of this field show that SEDSR is much better than previous methods of this field. SEDSR’s evaluation factor is about 50% better than other methods of this field. These results show its success in satisfaction of the existing tradeoff between fault coverage, performance and memory overheads. 展开更多
关键词 soft error DETECTION Control Flow errorS Data errorS Evaluation Factor FAULT INJECTION
下载PDF
Partial-TMR: A New Method for Protecting Register Files Against Soft Error Based on Lifetime Analysis
3
作者 Xian-Geng Liang Ying-Ke Gao Geng-Xin Hua 《Journal of Computer Science & Technology》 SCIE EI CSCD 2021年第5期1089-1101,共13页
High-energy particles in the space can easily cause soft error in register file(RF).As a critical structure in a processor,RF often stores data for long periods of time and is read frequently,resulting in a higher pro... High-energy particles in the space can easily cause soft error in register file(RF).As a critical structure in a processor,RF often stores data for long periods of time and is read frequently,resulting in a higher probability of spreading corrupted data to other parts of the processor.The triple modular redundancy(TMR)is a common and effective fault tolerance method that enables multi-bit error correction.Designing full TMR for all the registers could cause excessive area and power overheads.However,some registers in RF have less impact on processor reliability.Therefore,there is no need to design TMR for them.This paper designs an efficient strategy which can rate the registers in RF based on their vulnerability.Based on the proposed strategy,a new RF fault tolerance method named Partial-TMR formulates in this paper,which selectively protects more vulnerable registers against multi-bit error,and improves fault tolerance efficiency.For integer RF,Partial-TMR improves its soft error correction capability by 24.5%relative to the baseline system and 3%relative to ParShield,while for floating-point RF,the improvement comes to 5.17%and 0.58%respectively.The soft error correction capability of Partial-TMR is slightly lower than that of full TMR by 1%to 3%,but Partial-TMR significantly cuts the area and power overheads.Compared with full TMR,Partial-TMR decreases the area and power overheads by 71.6%and 64.9%,respectively.It also has little impact on the performance.Partial-TMR is a more cost-effective fault tolerance method compared with ParShield and full TMR. 展开更多
关键词 register file soft error lifetime analysis selective protection triple modular redundancy(TMR)
原文传递
Soft error reliability in advanced CMOS technologies—trends and challenges 被引量:3
4
作者 TANG Du HE ChaoHui +3 位作者 LI YongHong ZANG Hang XIONG Cen ZHANG JinXin 《Science China(Technological Sciences)》 SCIE EI CAS 2014年第9期1846-1857,共12页
With the decrease of the device size,soft error induced by various particles becomes a serious problem for advanced CMOS technologies.In this paper,we review the evolution of two main aspects of soft error-SEU and SET... With the decrease of the device size,soft error induced by various particles becomes a serious problem for advanced CMOS technologies.In this paper,we review the evolution of two main aspects of soft error-SEU and SET,including the new mechanisms to induced SEUs,the advances of the MCUs and some newly observed phenomena of the SETs.The mechanisms and the trends with downscaling of these issues are briefly discussed.We also review the hardening strategies for different types of soft errors from different perspective and present the challenges in testing,modeling and hardening assurance of soft error issues we have to address in the future. 展开更多
关键词 CMOS技术 发展趋势 可靠性 加工硬化 按比例缩小 器件尺寸 微控制器 SEU
原文传递
Register Reallocation for Soft Error Reduction 被引量:1
5
作者 WEN Peng YAN Guochang +1 位作者 LI Xuhui YING Shi 《Wuhan University Journal of Natural Sciences》 CAS 2014年第6期519-525,共7页
Subsequently to the problem of performance and energy overhead, the reliability problem of the system caused by soft error has become a growing concern. Since register file(RF) is the hottest component in processor, i... Subsequently to the problem of performance and energy overhead, the reliability problem of the system caused by soft error has become a growing concern. Since register file(RF) is the hottest component in processor, if not well protected, soft errors occurring in it will do harm to the system reliability greatly. In order to reduce soft error occurrence rate of register file, this paper presents a method to reallocate the register based on the fact that different live variables have different contribution to the register file vulnerability(RFV). Our experimental results on benchmarks from MiBench suite indicate that our method can significantly enhance the reliability. 展开更多
关键词 再分配 寄存器文件 可靠性问题 注册 高可靠性 处理器 发生率 系统
原文传递
Reducing vulnerability to soft errors in sub-100 nm content addressable memory circuits 被引量:1
6
作者 孙岩 张甲兴 +1 位作者 张民选 郝跃 《Journal of Semiconductors》 EI CAS CSCD 北大核心 2010年第2期94-98,共5页
We first study the impacts of soft errors on various types of CAM for different feature sizes.After presenting a soft error immune CAM cell,SSB-RCAM,we propose two kinds of reliable CAM,DCF-RCAM and DCK-RCAM. In addit... We first study the impacts of soft errors on various types of CAM for different feature sizes.After presenting a soft error immune CAM cell,SSB-RCAM,we propose two kinds of reliable CAM,DCF-RCAM and DCK-RCAM. In addition,we present an ignore mechanism to protect dual cell redundancy CAMs against soft errors.Experimental results indicate that the 11T-NOR CAM cell has an advantage in soft error immunity.Based on 11T-NOR,the proposed reliable CAMs reduce the SER by about 81%on average with acceptable overheads.The SER of dual cell redundancy CAMs can also be decreased using the ignore mechanism in specific applications. 展开更多
关键词 内容可寻址存储器 细胞粘附分子 电路 纳米 免疫细胞 国家统计局 CAM 双冗余
原文传递
Prevention from Soft Errors via Architecture Elasticity
7
作者 尹一笑 陈云霁 +1 位作者 郭崎 陈天石 《Journal of Computer Science & Technology》 SCIE EI CSCD 2014年第2期247-254,共8页
Due to the decreasing threshold voltages, shrinking feature size, as well as the exponential growth of on-chip transistors, modern processors are increasingly vulnerable to soft errors. However, traditional mechanisms... Due to the decreasing threshold voltages, shrinking feature size, as well as the exponential growth of on-chip transistors, modern processors are increasingly vulnerable to soft errors. However, traditional mechanisms of soft error mitigation take actions to deal with soft errors only after they have been detected. Instead of the passive responses, this paper proposes a novel mechanism which proactively prevents from the occurrence of soft errors via architecture elasticity.In the light of a predictive model, we adapt the processor architectures holistically and dynamically. The predictive model provides the ability to quickly and accurately predict the simulation target across different program execution phases on any architecture configurations by leveraging an artificial neural network model. Experimental results on SPEC CPU 2000benchmarks show that our method inherently reduces the soft error rate by 33.2% and improves the energy efficiency by18.3% as compared with the static configuration processor. 展开更多
关键词 架构 弹性 人工神经网络模型 预测模型 静态配置 处理器 阈值电压 特征尺寸
原文传递
Soft error generation analysis in combinational logic circuits
8
作者 丁潜 汪玉 +2 位作者 罗嵘 汪蕙 杨华中 《Journal of Semiconductors》 EI CAS CSCD 北大核心 2010年第9期141-146,共6页
Reliability is expected to become a big concern in future deep sub-micron integrated circuits design.Soft error rate(SER) of combinational logic is considered to be a great reliability problem.Previous SER analysis an... Reliability is expected to become a big concern in future deep sub-micron integrated circuits design.Soft error rate(SER) of combinational logic is considered to be a great reliability problem.Previous SER analysis and models indicated that glitch width has a great impact on electrical masking and latch window masking effects,but they failed to achieve enough insights.In this paper,an analytical glitch generation model is proposed.This model shows that after an inflexion point the collected charge has an exponential relationship with glitch duration and the model only introduces an estimation error of on average 2.5%. 展开更多
关键词 组合逻辑电路 可靠性问题 集成电路设计 屏蔽作用 误码率分析 深亚微米 软错误率 指数关系
原文传递
A flexible and robust soft-error testing system for microelectronic devices and integrated circuits
9
作者 王晓辉 童腾 +7 位作者 苏弘 刘杰 张战刚 古松 刘天奇 孔洁 赵兴文 杨振雷 《Nuclear Science and Techniques》 SCIE CAS CSCD 2015年第3期64-70,共7页
Single event effects(SEEs) induced by radiations become a significant challenge to the reliability for modern electronic systems. To evaluate SEEs susceptibility for microelectronic devices and integrated circuits(ICs... Single event effects(SEEs) induced by radiations become a significant challenge to the reliability for modern electronic systems. To evaluate SEEs susceptibility for microelectronic devices and integrated circuits(ICs), an SEE testing system with flexibility and robustness was developed at Heavy Ion Research Facility in Lanzhou(HIRFL). The system is compatible with various types of microelectronic devices and ICs, and supports plenty of complex and high-speed test schemes and plans for the irradiated devices under test(DUTs). Thanks to the combination of meticulous circuit design and the hardened logic design, the system has additional performances to avoid an overheated situation and irradiations by stray radiations. The system has been tested and verified by experiments for irradiating devices at HIRFL. 展开更多
关键词 微电子器件 测试系统 集成电路 软误差 重离子研究装置 HIRFL 现代电子系统 辐照装置
下载PDF
空间混合辐射环境器件单粒子在轨错误率预估及不确定度分析方法
10
作者 张付强 张峥 +5 位作者 肖舒颜 龚毅豪 韩金华 陈启明 曾传滨 郭刚 《原子能科学技术》 EI CAS CSCD 北大核心 2024年第4期945-951,共7页
针对空间混合辐射对器件单粒子在轨错误率的影响,基于典型静态随机存储器利用中国原子能科学研究院HI-13串列加速器以及钴源总剂量模拟辐照试验装置开展协合效应研究,发展了一种器件在混合辐射环境下的单粒子在轨错误率计算方法。并利... 针对空间混合辐射对器件单粒子在轨错误率的影响,基于典型静态随机存储器利用中国原子能科学研究院HI-13串列加速器以及钴源总剂量模拟辐照试验装置开展协合效应研究,发展了一种器件在混合辐射环境下的单粒子在轨错误率计算方法。并利用该方法计算了协合效应影响下的航天器典型任务周期器件的在轨错误率,同时分析了器件在轨错误率计算中的不确定度来源并计算了在轨错误率不确定度。结果表明,对于该类型器件,空间混合辐射场导致的协合效应将降低器件单粒子在轨错误率。 展开更多
关键词 单粒子在轨错误率 协合效应 不确定度分析 混合辐射
下载PDF
FinFET器件单粒子翻转物理机制研究评述
11
作者 王仕达 张洪伟 +2 位作者 唐民 梅博 孙毅 《航天器环境工程》 CSCD 2024年第2期225-233,共9页
鳍式场效应晶体管(FinFET)器件由于其较高的集成度以及运算密度,已成为未来航天应用领域的重要选择。FinFET器件的辐射敏感性与其制作工艺和工作条件息息相关。为了解FinFET器件的单粒子翻转(SEU)敏感机制,文章结合国内外开展的相关研究... 鳍式场效应晶体管(FinFET)器件由于其较高的集成度以及运算密度,已成为未来航天应用领域的重要选择。FinFET器件的辐射敏感性与其制作工艺和工作条件息息相关。为了解FinFET器件的单粒子翻转(SEU)敏感机制,文章结合国内外开展的相关研究,从SEU机理出发,分析了器件特征尺寸、电源电压和入射粒子的线性能量传输(LET)值等不同条件对器件SEU敏感性的影响,最后结合实际对FinFET器件SEU的研究发展方向进行展望。 展开更多
关键词 鳍式场效应晶体管 单粒子翻转 软错误率 静态随机存取存储器
下载PDF
基于DI反馈互锁的低开销抗四节点翻转锁存器研究
12
作者 王俊 徐辉 《华北科技学院学报》 2024年第2期54-62,84,共10页
随着CMOS集成电路工作频率和工艺的提升,深纳米级电路在高辐射空间环境中也越来越容易受到辐射粒子撞击引起的软错误影响。本文提出了一种低成本的quadruple-node-upsets(QNUs)容忍锁存器设计LCQNUTL,由三个独立的存储单元SC和拦截模块C... 随着CMOS集成电路工作频率和工艺的提升,深纳米级电路在高辐射空间环境中也越来越容易受到辐射粒子撞击引起的软错误影响。本文提出了一种低成本的quadruple-node-upsets(QNUs)容忍锁存器设计LCQNUTL,由三个独立的存储单元SC和拦截模块CG-SIM组成。SC内部两个二元反相器DI进行反馈互锁组成一个环路,通过Dual-inverter(DI)的特性达到实现SC单元可以单节点翻转的自恢复能力;再通过CG-SIM将存储单元SC中的部分错误节点进行过滤,所提出的LCQNUTL锁存器可以完全容忍QNU。仿真结果也验证了LCQNUTL锁存器的鲁棒性。与目前相同类型的QNU容忍锁存器设计相比,功耗平均降低53.21%,延迟平均降低53.83%,PDP平均降低77.27%。 展开更多
关键词 辐射 软错误 锁存器设计 四节点翻转
下载PDF
基于Soft-Masked BERT的新闻文本纠错研究 被引量:1
13
作者 史健婷 吴林皓 +1 位作者 张英涛 常亮 《计算机技术与发展》 2022年第5期202-207,共6页
互联网时代的新闻宣传领域,每天都会产生海量的文本稿件,仅依靠人工进行校正,成本极高,效率低下。利用计算机辅助技术对新闻稿件进行审阅极大地提高了校稿效率,大大减少人力成本,进一步利用特定新闻领域语料集的深度学习模型,完成个性... 互联网时代的新闻宣传领域,每天都会产生海量的文本稿件,仅依靠人工进行校正,成本极高,效率低下。利用计算机辅助技术对新闻稿件进行审阅极大地提高了校稿效率,大大减少人力成本,进一步利用特定新闻领域语料集的深度学习模型,完成个性化定制,在该领域的纠错过程中可以取得更好的效果。文中使用一种全新的中文文本纠错模型理论:Soft-Masked BERT,该模型将中文文本的检错过程与纠错过程分离,纠正网络的输入来自于检测网络输出。文中旨在Soft-Masked BERT基础上进行改进并应用。使用“哈尔滨工业大学新闻网”新闻稿件中10 000条文本序列(HIT News Site)作为初始语料进行训练,之后对该新闻网的相关稿件进行中文文本校对。结果表明,Soft-Masked模型在HIT News Site数据集上的整体性能表现优于BERT-Finetune,准确率提高0.6个百分点,精确率提高1.3个百分点,召回率提高1.5个百分点,F1分数提高1.4个百分点,效果良好。 展开更多
关键词 新闻稿件 计算机辅助技术 深度学习 中文文本纠错 soft-Masked BERT
下载PDF
STUDY ON A NEW TYPE OF THROW-AWAY SOFT GRINDING WHEELS
14
作者 English Guo Yinbiao Zheng Xiaoguang +2 位作者 Chen Bingkui Liang Xichang (State Key Lab. of Mechanical Transgression, Chongqing University) Syoji Katsuo Kuliyagawn Tsunemoto (Tohoku University, Japan) 《Chinese Journal of Mechanical Engineering》 SCIE EI CAS CSCD 2000年第2期140-144,共5页
In accordance with the difficult problems of belt cross vibrations and effects of belt tension on machine spindle precision in abrasive belt grinding, a new soft grinding wheel is put forward, which is provided with t... In accordance with the difficult problems of belt cross vibrations and effects of belt tension on machine spindle precision in abrasive belt grinding, a new soft grinding wheel is put forward, which is provided with the advantages of belt grinding and can he installed directly on the grinding machine spindle substituting for common grinding wheels. The new soft grinding wheel does not need any ancillary facilities and dressing devices in banding. With analyzing error of wheel and grinding experiment, the high-efficiency grinding characteristics grinding hard-brittle materials has been obtained. 展开更多
关键词 soft grinding wheel High-efficiency grinding error compensation
下载PDF
Direct measurement of an energy-dependent single-event-upset cross-section with time-of-flight method at CSNS 被引量:1
15
作者 裴标 谭志新 +2 位作者 贺永宁 赵小龙 樊瑞睿 《Chinese Physics B》 SCIE EI CAS CSCD 2023年第2期11-19,共9页
To predict the soft error rate for applications, it is essential to study the energy dependence of the single-event-upset(SEU) cross-section. In this work, we present a direct measurement of the SEU cross-section with... To predict the soft error rate for applications, it is essential to study the energy dependence of the single-event-upset(SEU) cross-section. In this work, we present a direct measurement of the SEU cross-section with the Back-n white neutron source at the China Spallation Neutron Source. The measured cross section is consistent with the soft error data from the manufacturer and the result suggests that the threshold energy of the SEU is about 0.5 Me V, which confirms the statement in Iwashita’s report that the threshold energy for neutron soft error is much below that of the(n, α) cross-section of silicon.In addition, an index of the effective neutron energy is suggested to characterize the similarity between a spallation neutron beam and the standard atmospheric neutron environment. 展开更多
关键词 static random-access memory soft error rate neutron SEU cross-section TIME-OF-FLIGHT
原文传递
基于青藏高原的14 nm FinFET和28 nm平面CMOS工艺SRAM单粒子效应实时测量试验
16
作者 张战刚 杨少华 +3 位作者 林倩 雷志锋 彭超 何玉娟 《物理学报》 SCIE EI CAS CSCD 北大核心 2023年第14期161-171,共11页
本文基于海拔为4300 m的拉萨羊八井国际宇宙射线观测站,开展了14 nm FinFET和28 nm平面互补金属氧化物半导体(complementary metal oxide semiconductor,CMOS)工艺静态随机存取存储器(static randomaccess memory,SRAM)阵列的大气辐射... 本文基于海拔为4300 m的拉萨羊八井国际宇宙射线观测站,开展了14 nm FinFET和28 nm平面互补金属氧化物半导体(complementary metal oxide semiconductor,CMOS)工艺静态随机存取存储器(static randomaccess memory,SRAM)阵列的大气辐射长期实时测量试验.试验持续时间为6651 h,共观测到单粒子翻转(single event upset,SEU)事件56个,其中单位翻转(single bit upset,SBU)24个,多单元翻转(multiple cell upset,MCU)32个.结合之前开展的65 nm工艺SRAM结果,研究发现,随着工艺尺寸的减小,器件的整体软错误率(soft error rate,SER)持续降低.但是,相比于65和14 nm工艺器件,28 nm工艺器件的MCU SER最大,其MCU占比(57%)超过SBU,MCU最大位数为16位.虽然14 nm FinFET器件的Fin间距仅有35 nm左右,且临界电荷降至亚fC,但FinFET结构的引入导致灵敏区电荷收集和共享机制发生变化,浅沟道隔离致使电荷扩散通道“狭窄化”,另一方面灵敏区表面积减小至0.0024μm^(2),从而导致14 nm工艺器件SBU和MCU的软错误率均明显下降. 展开更多
关键词 FINFET 中子 单粒子翻转 软错误
下载PDF
Design of Novel and Low Cost Triple-node Upset Self-recoverable Latch
17
作者 BAI Na MING Tianbo +3 位作者 XU Yaohua WANG Yi LI Yunfei LI Li 《原子能科学技术》 EI CAS CSCD 北大核心 2023年第12期2326-2336,共11页
With the development of semiconductor technology,the size of transistors continues to shrink.In complex radiation environments in aerospace and other fields,small-sized circuits are more prone to soft error(SE).Curren... With the development of semiconductor technology,the size of transistors continues to shrink.In complex radiation environments in aerospace and other fields,small-sized circuits are more prone to soft error(SE).Currently,single-node upset(SNU),double-node upset(DNU)and triple-node upset(TNU)caused by SE are relatively common.TNU’s solution is not yet fully mature.A novel and low-cost TNU self-recoverable latch(named NLCTNURL)was designed which is resistant to harsh radiation effects.When analyzing circuit resiliency,a double-exponential current source is used to simulate the flipping behavior of a node’s stored value when an error occurs.Simulation results show that the latch has full TNU self-recovery.A comparative analysis was conducted on seven latches related to TNU.Besides,a comprehensive index combining delay,power,area and self-recovery—DPAN index was proposed,and all eight types of latches from the perspectives of delay,power,area,and DPAN index were analyzed and compared.The simulation results show that compared with the latches LCTNURL and TNURL which can also achieve TNU self-recoverable,NLCTNURL is reduced by 68.23%and 57.46%respectively from the perspective of delay.From the perspective of power,NLCTNURL is reduced by 72.84%and 74.19%,respectively.From the area perspective,NLCTNURL is reduced by about 28.57%and 53.13%,respectively.From the DPAN index perspective,NLCTNURL is reduced by about 93.12%and 97.31%.The simulation results show that the delay and power stability of the circuit are very high no matter in different temperatures or operating voltages. 展开更多
关键词 circuit reliability latch design self-recoverability soft error radiation hardening triple-node upset
下载PDF
Present Status of EUV Interferometer Development at the Research Center for Soft X-ray Microscopy
18
作者 Masaki Yamamoto, Tadashi Hatano, Minaji Furudate (Research Center for Soft X-ray Microscopy, Institute of Multidisciplinary Research for Advanced Materials, Tohoku University, Japan) 《光学精密工程》 EI CAS CSCD 2001年第5期405-410,共6页
A new interferometer for extreme ultraviolet (EUV) radiation with a laser produced plasma (LPP) laboratory source is under construction. The LPP source is operated with a Sn solid rod target on which pulsed YAG laser ... A new interferometer for extreme ultraviolet (EUV) radiation with a laser produced plasma (LPP) laboratory source is under construction. The LPP source is operated with a Sn solid rod target on which pulsed YAG laser is focused to produce high temperature plasma emitting EUV radiation. The source is equipped with a newly designed debris stopper protecting a condenser multilayer mirror from the particle debris of the target. The condenser mirror focuses the light onto an EUV beam-splitter to form transmitted and reflected paths for producing interference fringes of a sharing type. The optical configuration is of a common path based on a triangular path type with a focusing at the beam-splitter, which is enabled to produce fringes by a low coherence radiation with a standard optical quality beam-splitter. The fringes are recorded by an imaging plate with pixels as small as 25μm. The dynamic range of linearity in detection of the EUV light was found to be more than 10 4 with sensitivity of 10 4 photons/pixel, enough for the purpose of interferogram recording, possibly with one laser shot. 展开更多
关键词 soft X-ray INTERFEROMETERS MULTILAYER mirrors FIGURE error imaging optics
下载PDF
数据集与网络结构对基于FPGA的CNN加速器的抗软错误性能的影响
19
作者 折夏煜 刘玉宏 +4 位作者 王杨圣 郭刚 王海滨 王亮 韩光洁 《小型微型计算机系统》 CSCD 北大核心 2023年第11期2510-2515,共6页
卷积神经网络(Convolutional Neural Networks,CNN)凭借其优越的并行处理能力,在医疗健康、无人驾驶、人脸识别等领域得到了广泛应用.现场可编程门阵列(Field Programmable Gate Array,FPGA)的灵活性使其适于CNN的硬件实现.然而随着工... 卷积神经网络(Convolutional Neural Networks,CNN)凭借其优越的并行处理能力,在医疗健康、无人驾驶、人脸识别等领域得到了广泛应用.现场可编程门阵列(Field Programmable Gate Array,FPGA)的灵活性使其适于CNN的硬件实现.然而随着工艺尺寸减小,软错误对FPGA的影响变得不容忽视.为了更好地研究基于FPGA的CNN异构加速器的可靠性,对其在关键任务中的设计给出参考性指导,提出了不同深度和宽度的网络拓扑,并对基于其设计的加速器进行了大量故障注入实验.通过分析实验中数据集、网络深度、宽度和资源开销对软错误恢复能力的影响,得出以下结论:使用高复杂度的数据集和增加网络深度会使CNN加速器抗软错误性能降低;而网络宽度的增加虽然会增大开销,但加速器并未因此获得更高的错误率,可靠性反而有所提升. 展开更多
关键词 CNN加速器 FPGA 软错误 故障注入
下载PDF
基于图神经网络的程序脆弱性指数评估方法
20
作者 黄甦雷 马骏驰 段宗涛 《计算机应用研究》 CSCD 北大核心 2023年第4期1148-1153,共6页
软错误会导致隐性偏差,严重影响计算机系统的可靠性。计算程序脆弱性指数是防护隐性偏差的先决条件。针对传统方法中程序语义提取不足,无法全面反映错误传播机理的问题,提出了一种基于图注意力网络的程序脆弱性指数评估方法EpicGNN。将... 软错误会导致隐性偏差,严重影响计算机系统的可靠性。计算程序脆弱性指数是防护隐性偏差的先决条件。针对传统方法中程序语义提取不足,无法全面反映错误传播机理的问题,提出了一种基于图注意力网络的程序脆弱性指数评估方法EpicGNN。将脆弱性指数预测的任务转换为图神经网络的图回归任务,应用不同类型的边来表示不同的指令关系;引入结构化多头自注意力机制量化节点间、节点到图在错误传播中的重要程度;依据该重要性聚合节点信息、图信息形成图的表示向量,并利用回归模型预测脆弱性指数。实验结果表明,EpicGNN在spec2000、spec2006、rodinia等数据集上的平均绝对误差相比现有模型减少了0.037~0.258,对未见过的图仍然有良好的泛化性能。 展开更多
关键词 软错误 错误传播 程序脆弱性 图神经网络
下载PDF
上一页 1 2 21 下一页 到第
使用帮助 返回顶部